Novel 1T2R1T RRAM-based Ternary Content Addressable Memory for Large Scale Pattern Recognition - Archive ouverte HAL Accéder directement au contenu
Communication Dans Un Congrès Année : 2019

Novel 1T2R1T RRAM-based Ternary Content Addressable Memory for Large Scale Pattern Recognition

J-P Noel
  • Fonction : Auteur
J-F Nodin
  • Fonction : Auteur

Résumé

Resistive Random Access Memories (RRAMs) are a promising solution to implement Ternary Content Addressable Memories (TCAMs) that are more area-and energy-efficient with respect to Static Random Access Memory (SRAM)-based TCAMs. However, RRAM-based TCAMs are limited in the number of bits per word due to the low ratio between the resistances of the high and low resistance states (HRS/LRS) and resistance variability of RRAM. Such a limitation on the word length hinders the parallel search of a very large number of data bits for data-intensive applications. To overcome this issue, for the first time, we propose a new TCAM cell composed of two transistors and two RRAMs in a 1T2R1T configuration, where a RRAM voltage divider (2R) biases a transistor gate (1T) and an additional transistor is used to program the RRAMs (1T). A 3x128bits 1T2R1T TCAM macro were designed, integrated and extensively characterized. We experimentally demonstrate that the sensing margin of the proposed structure is insensitive to HRS/LRS RRAM resistance ratio and variability. With respect to the most common type of 2T2R RRAM-based TCAM [1-3], the proposed circuit improves the sensing margin by >5000x while reaching search times of 0.93ns. This allows the search of large volumes of data in parallel. In addition, the proposed structure improves programming and search endurance by 100x and >10x, respectively. I. PROPOSED 1T2R1T TCAM CIRCUIT TCAM performs parallel searches by comparing input searched data with data stored in the TCAM and returning the data address when a match occurs [1]. They provide a lookup response in a single clock cycle making them faster and more energy-efficient than random access memory-based search systems. High pattern matching speeds make TCAM a key function for data-intensive applications, such as Internet Protocol (IP) lookup, word search, and routing in neuromorphic circuits [1, 4-5]. Conventional TCAMs based on SRAMs suffer from low area density and static power consumption. Resistive Memory (RRAM)-based TCAMs have been demonstrated [2, 6-10] enabling to overcome these challenges without degrading performance (search energy and time) (Fig.1). The most common RRAM-based TCAM is composed of two pairs of access transistors and RRAMs (2T2R) connected in parallel on a Match Line (ML) [1-3]. However, these structures are constrained in word length due to the low ON/OFF current ratio (<100), determined by the RRAM resistance ratio between the High Resistance State (HRS) and the Low Resistance State (LRS). A large ON/OFF current ratio comparable to that of SRAMs (>10) is required to enable parallel searches of longer words. Here we propose a new TCAM cell composed of two transistors and two RRAMs in a 1T2R1T configuration (Fig.2a), in which two RRAMs (2R) compose a voltage divider that biases the transistor gate of N2 (1T) for search operations. An additional transistor N1 (1T) works as an access transistor to program the RRAMs. The searching scheme is shown in Fig.2b. The ML is first pre-charged at VDD (transistors N1 and N2 are OFF). The ML is then left floating and a search voltage V search is applied across the RRAM voltage divider. When the search bit is '1', V search is applied on the top of the voltage divider (SLT), while maintaining SLF to 0V. When the search bit is '0', V search is applied on the bottom (SLF). The internal voltage V INT depends on R X and R Y values. If the stored and searched data match (V INT~0 V) transistor N2 remains OFF and the ML stays high. If we have a mismatch (V INT~Vsearch) N2 turns ON and the ML is pulled down to ground. Fig.3a and b show a photo of the fabricated 3x128bits 1T2R1T RRAM-based TCAM and a SEM cross section of the integrated RRAMs, respectively. HfO 2-based RRAMs are integrated in the Back End of Line of a 130nm CMOS process [11]. During ML sensing, in the most common 2T2R RRAM-based TCAM (Fig.4a), the top electrodes of both RRAMs are connected to the ML. Current flows in the 1T1R branches with the selector transistor in the ON state, discharging the ML. In case of match, the ML slowly discharges through RRAMs in HRS (top) whereas in case of mismatch the ML discharges quickly through RRAMs in LRS (bottom). Since the leakage currents of the TCAM cells on the same ML add together, the limited HRS/LRS resistance ratio makes difficult to distinguish between a match and 1-bit mismatch state (the hardest mismatch to detect) for long TCAM words. In the proposed 1T2R1T structure (Fig.4b), the ML is connected to transistors controlled by the RRAM voltage divider (N2). Therefore, the sensing margin no longer depends on HRS/LRS ratio (~30 at V search =0.6V) but on the MOSFET current ratio (~10 at V search =0.6V as shown on the transistor characteristic in Fig.5), leading to the possibility of longer word search. II. SENSING MARGIN AND SEARCH CAPACITY We performed extensive circuit-level electrical characterization of the fabricated 1T2R1T TCAM array. Measurements are performed on the 128-bits middle TCAM of Fig.3a. The transistor N1 is used to perform Forming, Set and Reset operations using the standard 1T1R scheme. The configuration with both cells in LRS is forbidden (always miss case). The programming sequence of Fig.6 top is adopted in IEDM19-839 35.5.1
Fichier principal
Vignette du fichier
PID6054969_V10.pdf (1.78 Mo) Télécharger le fichier
Origine : Fichiers produits par l'(les) auteur(s)
Loading...

Dates et versions

hal-02939338 , version 1 (21-09-2020)

Identifiants

Citer

J-P. Noel, J -F. Nodin, D. R B Ly, J-P Noel, B. Giraud, et al.. Novel 1T2R1T RRAM-based Ternary Content Addressable Memory for Large Scale Pattern Recognition. 2019 IEEE International Electron Devices Meeting (IEDM), Dec 2019, San Francisco, France. pp.35.5.1-35.5.4, ⟨10.1109/IEDM19573.2019.8993621⟩. ⟨hal-02939338⟩
48 Consultations
130 Téléchargements

Altmetric

Partager

Gmail Facebook X LinkedIn More