0000000000000000000000000000001 0 5 ; 0000000000000000000000000000003 0 10 ; 0000000000000000000000000000004 11 70 ; 0000000000000000000000000000013 0 1 ; 0000000000000000000000000000020 0 1 ; 0000000000000000000000000000030 0 8 ; 0000000000000000000000000000040 1 34 ; 0000000000000000000000000000041 0 1 ; 0000000000000000000000000000042 0 1 ; 0000000000000000000000000000043 0 1 ; 0000000000000000000000000000044 0 15 ; 0000000000000000000000000000103 0 1 ; 0000000000000000000000000000130 1 1 ; 0000000000000000000000000000300 0 3 ; 0000000000000000000000000000303 0 4 ; 0000000000000000000000000000330 0 1 ; 0000000000000000000000000000400 5 20 ; 0000000000000000000000000000401 2 0 ; 0000000000000000000000000000403 0 2 ; 0000000000000000000000000000404 0 2 ; 0000000000000000000000000000413 0 1 ; 0000000000000000000000000000430 1 0 ; 0000000000000000000000000000433 1 0 ; 0000000000000000000000000000440 0 4 ; 0000000000000000000000000000441 0 1 ; 0000000000000000000000000000443 0 2 ; 0000000000000000000000000000444 0 5 ; 0000000000000000000000000001201 0 1 ; 0000000000000000000000000001301 0 1 ; 0000000000000000000000000002010 0 1 ; 0000000000000000000000000002300 0 1 ; 0000000000000000000000000003000 0 6 ; 0000000000000000000000000003013 0 1 ; 0000000000000000000000000003030 0 6 ; 0000000000000000000000000003040 0 1 ; 0000000000000000000000000004000 7 3 ; 0000000000000000000000000004003 0 1 ; 0000000000000000000000000004004 0 2 ; 0000000000000000000000000004030 1 1 ; 0000000000000000000000000004044 0 1 ; 0000000000000000000000000004103 0 1 ; 0000000000000000000000000004113 0 1 ; 0000000000000000000000000004130 0 1 ; 0000000000000000000000000004134 0 1 ; 0000000000000000000000000004300 0 1 ; 0000000000000000000000000004303 0 2 ; 0000000000000000000000000004400 1 0 ; 0000000000000000000000000004413 1 0 ; 0000000000000000000000000013030 1 0 ; 0000000000000000000000000013044 0 1 ; 0000000000000000000000000030000 0 2 ; 0000000000000000000000000030004 0 1 ; 0000000000000000000000000030130 0 2 ; 0000000000000000000000000030300 0 1 ; 0000000000000000000000000030303 1 0 ; 0000000000000000000000000040000 2 10 ; 0000000000000000000000000040001 0 1 ; 0000000000000000000000000040003 0 2 ; 0000000000000000000000000040004 0 2 ; 0000000000000000000000000040011 1 0 ; 0000000000000000000000000040030 0 5 ; 0000000000000000000000000040040 0 1 ; 0000000000000000000000000040041 0 1 ; 0000000000000000000000000040043 0 1 ; 0000000000000000000000000040044 0 3 ; 0000000000000000000000000040130 0 1 ; 0000000000000000000000000040140 0 1 ; 0000000000000000000000000040300 0 3 ; 0000000000000000000000000040303 0 1 ; 0000000000000000000000000040400 0 1 ; 0000000000000000000000000040403 0 1 ; 0000000000000000000000000040404 0 2 ; 0000000000000000000000000040430 0 1 ; 0000000000000000000000000041303 0 1 ; 0000000000000000000000000043023 0 1 ; 0000000000000000000000000043030 0 1 ; 0000000000000000000000000043034 0 1 ; 0000000000000000000000000043040 1 0 ; 0000000000000000000000000043041 0 1 ; 0000000000000000000000000043044 0 1 ; 0000000000000000000000000043433 0 1 ; 0000000000000000000000000044041 0 1 ; 0000000000000000000000000044130 0 1 ; 0000000000000000000000000044301 1 0 ; 0000000000000000000000000044303 0 1 ; 0000000000000000000000000044410 0 1 ; 0000000000000000000000000044443 0 1 ; 0000000000000000000000000230000 1 0 ; 0000000000000000000000000230300 0 1 ; 0000000000000000000000000230303 0 1 ; 0000000000000000000000000230411 0 1 ; 0000000000000000000000000244000 0 1 ; 0000000000000000000000000300000 1 2 ; 0000000000000000000000000301303 0 1 ; 0000000000000000000000000303000 0 1 ; 0000000000000000000000000303030 0 4 ; 0000000000000000000000000400000 3 5 ; 0000000000000000000000000400003 0 3 ; 0000000000000000000000000400130 0 1 ; 0000000000000000000000000401411 1 0 ; 0000000000000000000000000403010 0 1 ; 0000000000000000000000000404130 0 1 ; 0000000000000000000000000404300 0 1 ; 0000000000000000000000000404400 0 1 ; 0000000000000000000000000410301 0 1 ; 0000000000000000000000000411303 0 1 ; 0000000000000000000000000412303 0 1 ; 0000000000000000000000000413014 0 1 ; 0000000000000000000000000413030 0 1 ; 0000000000000000000000000423013 0 1 ; 0000000000000000000000000430000 0 2 ; 0000000000000000000000000430301 0 1 ; 0000000000000000000000000430303 1 1 ; 0000000000000000000000000430304 0 1 ; 0000000000000000000000000440130 1 3 ; 0000000000000000000000000440300 0 1 ; 0000000000000000000000000440411 1 0 ; 0000000000000000000000000441300 0 1 ; 0000000000000000000000000441301 0 2 ; 0000000000000000000000000441303 0 1 ; 0000000000000000000000000441441 1 0 ; 0000000000000000000000000443030 0 5 ; 0000000000000000000000000443040 0 1 ; 0000000000000000000000000443043 0 1 ; 0000000000000000000000000444000 0 1 ; 0000000000000000000000000444413 0 1 ; 0000000000000000000000001030303 0 1 ; 0000000000000000000000001130113 0 1 ; 0000000000000000000000001301002 0 1 ; 0000000000000000000000003000000 0 2 ; 0000000000000000000000003034130 0 1 ; 0000000000000000000000003034303 1 0 ; 0000000000000000000000004000000 2 10 ; 0000000000000000000000004000030 0 1 ; 0000000000000000000000004004403 0 1 ; 0000000000000000000000004004441 0 1 ; 0000000000000000000000004004443 0 1 ; 0000000000000000000000004040000 0 1 ; 0000000000000000000000004040004 0 1 ; 0000000000000000000000004040303 0 1 ; 0000000000000000000000004040404 0 1 ; 0000000000000000000000004043030 0 3 ; 0000000000000000000000004043034 0 1 ; 0000000000000000000000004044040 0 1 ; 0000000000000000000000004044303 0 2 ; 0000000000000000000000004124130 0 1 ; 0000000000000000000000004300130 0 2 ; 0000000000000000000000004300303 0 1 ; 0000000000000000000000004300304 0 1 ; 0000000000000000000000004301303 0 1 ; 0000000000000000000000004301443 0 1 ; 0000000000000000000000004400000 0 1 ; 0000000000000000000000004404444 0 1 ; 0000000000000000000000004410300 0 1 ; 0000000000000000000000004430130 0 1 ; 0000000000000000000000004440300 0 1 ; 0000000000000000000000004441303 0 1 ; 0000000000000000000000004443021 0 1 ; 0000000000000000000000004443030 0 1 ; 0000000000000000000000004444040 0 1 ; 0000000000000000000000004444130 0 1 ; 0000000000000000000000004444300 0 2 ; 0000000000000000000000004444303 0 1 ; 0000000000000000000000004444403 0 1 ; 0000000000000000000000004444413 0 1 ; 0000000000000000000000013000000 0 1 ; 0000000000000000000000013030113 0 1 ; 0000000000000000000000014303030 0 1 ; 0000000000000000000000030030301 0 1 ; 0000000000000000000000030300003 1 0 ; 0000000000000000000000030300301 1 0 ; 0000000000000000000000030304013 0 1 ; 0000000000000000000000030304303 1 0 ; 0000000000000000000000030444430 0 1 ; 0000000000000000000000034230300 0 1 ; 0000000000000000000000040000000 2 2 ; 0000000000000000000000040004030 1 0 ; 0000000000000000000000040013034 0 1 ; 0000000000000000000000040030044 0 1 ; 0000000000000000000000040040444 0 1 ; 0000000000000000000000040041303 0 1 ; 0000000000000000000000040044444 0 2 ; 0000000000000000000000040130304 0 1 ; 0000000000000000000000040230303 0 1 ; 0000000000000000000000040300030 0 1 ; 0000000000000000000000040300430 0 1 ; 0000000000000000000000040303030 1 0 ; 0000000000000000000000040304030 0 1 ; 0000000000000000000000040430303 0 2 ; 0000000000000000000000040443412 0 1 ; 0000000000000000000000040444000 1 0 ; 0000000000000000000000041000000 1 0 ; 0000000000000000000000041200000 0 1 ; 0000000000000000000000041303013 0 1 ; 0000000000000000000000041303034 0 1 ; 0000000000000000000000042030000 0 1 ; 0000000000000000000000043003040 0 1 ; 0000000000000000000000043044000 0 1 ; 0000000000000000000000044044303 0 1 ; 0000000000000000000000044300030 0 1 ; 0000000000000000000000044443030 0 1 ; 0000000000000000000000121230304 0 1 ; 0000000000000000000000130000000 1 0 ; 0000000000000000000000230003030 0 1 ; 0000000000000000000000230303030 0 1 ; 0000000000000000000000300030301 0 1 ; 0000000000000000000000300041003 0 1 ; 0000000000000000000000300400000 0 1 ; 0000000000000000000000300400400 1 0 ; 0000000000000000000000300430043 0 1 ; 0000000000000000000000300444004 1 0 ; 0000000000000000000000301303030 0 1 ; 0000000000000000000000303013030 0 1 ; 0000000000000000000000303030303 0 2 ; 0000000000000000000000303030304 0 1 ; 0000000000000000000000303430303 0 1 ; 0000000000000000000000400000000 4 13 ; 0000000000000000000000400000004 0 1 ; 0000000000000000000000400000040 0 1 ; 0000000000000000000000400003000 0 1 ; 0000000000000000000000400003041 0 1 ; 0000000000000000000000400004303 0 2 ; 0000000000000000000000400034043 1 0 ; 0000000000000000000000400303030 0 2 ; 0000000000000000000000400303040 0 1 ; 0000000000000000000000400304413 0 1 ; 0000000000000000000000400343030 1 0 ; 0000000000000000000000400411001 0 1 ; 0000000000000000000000400424041 0 1 ; 0000000000000000000000400430003 0 1 ; 0000000000000000000000400440304 0 1 ; 0000000000000000000000400444301 0 1 ; 0000000000000000000000403000003 0 1 ; 0000000000000000000000403024300 0 1 ; 0000000000000000000000403030030 0 1 ; 0000000000000000000000403030300 0 1 ; 0000000000000000000000403430303 0 1 ; 0000000000000000000000404000000 0 1 ; 0000000000000000000000404030000 0 1 ; 0000000000000000000000404130302 0 1 ; 0000000000000000000000404230000 0 1 ; 0000000000000000000000404301303 0 1 ; 0000000000000000000000404303000 0 1 ; 0000000000000000000000404303034 0 1 ; 0000000000000000000000404344030 0 1 ; 0000000000000000000000404400000 0 1 ; 0000000000000000000000404413030 0 1 ; 0000000000000000000000404413043 0 1 ; 0000000000000000000000404430303 0 1 ; 0000000000000000000000404444044 0 1 ; 0000000000000000000000404444303 0 1 ; 0000000000000000000000404444444 0 2 ; 0000000000000000000000411400000 0 1 ; 0000000000000000000000413011110 1 0 ; 0000000000000000000000413011111 0 1 ; 0000000000000000000000413011303 0 1 ; 0000000000000000000000420430303 0 1 ; 0000000000000000000000430000000 0 2 ; 0000000000000000000000430030114 0 1 ; 0000000000000000000000440000130 0 1 ; 0000000000000000000000440303030 0 1 ; 0000000000000000000000440430130 0 1 ; 0000000000000000000000440430430 0 1 ; 0000000000000000000000440443043 0 1 ; 0000000000000000000000440444303 1 0 ; 0000000000000000000000441301003 0 1 ; 0000000000000000000000441303030 0 1 ; 0000000000000000000000442043030 0 1 ; 0000000000000000000000443000040 0 1 ; 0000000000000000000000443403003 0 1 ; 0000000000000000000000444010040 0 1 ; 0000000000000000000000444044444 0 1 ; 0000000000000000000000444300000 0 1 ; 0000000000000000000000444300130 0 1 ; 0000000000000000000000444430303 0 1 ; 0000000000000000000000444444044 1 0 ; 0000000000000000000000444444130 0 1 ; 0000000000000000000001140430303 0 1 ; 0000000000000000000001303030300 0 1 ; 0000000000000000000001303043030 0 1 ; 0000000000000000000001430300302 0 1 ; 0000000000000000000003000000000 0 1 ; 0000000000000000000003000000030 0 1 ; 0000000000000000000003030230303 0 1 ; 0000000000000000000003030300000 0 1 ; 0000000000000000000003030303030 0 4 ; 0000000000000000000003030303033 0 1 ; 0000000000000000000003030443000 1 0 ; 0000000000000000000003030444404 1 0 ; 0000000000000000000004000000000 1 7 ; 0000000000000000000004004030303 0 1 ; 0000000000000000000004004044430 0 1 ; 0000000000000000000004030000303 0 1 ; 0000000000000000000004030301300 0 1 ; 0000000000000000000004043400000 0 1 ; 0000000000000000000004044000000 0 1 ; 0000000000000000000004230430013 0 1 ; 0000000000000000000004300030303 0 1 ; 0000000000000000000004301303030 0 1 ; 0000000000000000000004303000000 0 1 ; 0000000000000000000004303430303 0 1 ; 0000000000000000000004304443000 0 1 ; 0000000000000000000004400000000 1 1 ; 0000000000000000000004404301113 0 1 ; 0000000000000000000010000000000 0 1 ; 0000000000000000000010403030303 0 1 ; 0000000000000000000013301303030 0 1 ; 0000000000000000000013434343434 1 0 ; 0000000000000000000030000000000 0 1 ; 0000000000000000000030030423013 0 1 ; 0000000000000000000030130230000 1 0 ; 0000000000000000000030300430300 0 1 ; 0000000000000000000030303030303 0 1 ; 0000000000000000000030303030304 1 0 ; 0000000000000000000031344030430 0 1 ; 0000000000000000000033030304400 0 1 ; 0000000000000000000040000000000 0 8 ; 0000000000000000000040000003030 0 1 ; 0000000000000000000040004000342 0 1 ; 0000000000000000000040020000000 0 1 ; 0000000000000000000040023413040 0 1 ; 0000000000000000000040043004113 0 1 ; 0000000000000000000040044303000 0 1 ; 0000000000000000000040200000000 0 1 ; 0000000000000000000040300030303 0 1 ; 0000000000000000000040400303030 0 1 ; 0000000000000000000040411300010 0 1 ; 0000000000000000000040430303030 0 1 ; 0000000000000000000040441303030 0 1 ; 0000000000000000000040442130000 0 1 ; 0000000000000000000041303030341 0 1 ; 0000000000000000000043014001303 0 1 ; 0000000000000000000043030301300 0 1 ; 0000000000000000000044301030443 0 1 ; 0000000000000000000044400444044 0 1 ; 0000000000000000000130303030303 0 2 ; 0000000000000000000130343030303 0 1 ; 0000000000000000000130441413040 0 1 ; 0000000000000000000204303030301 0 1 ; 0000000000000000000300000000000 2 0 ; 0000000000000000000300012303030 0 1 ; 0000000000000000000300030303030 0 1 ; 0000000000000000000300443030100 0 1 ; 0000000000000000000303013000000 1 0 ; 0000000000000000000303021303044 0 1 ; 0000000000000000000303023030300 0 1 ; 0000000000000000000303030301304 0 1 ; 0000000000000000000303030303030 0 2 ; 0000000000000000000303030304030 0 1 ; 0000000000000000000303030400030 0 1 ; 0000000000000000000303430301300 0 1 ; 0000000000000000000304434303030 1 0 ; 0000000000000000000343013004430 0 1 ; 0000000000000000000400000000000 1 1 ; 0000000000000000000400000000004 0 1 ; 0000000000000000000400004430010 0 1 ; 0000000000000000000400004440000 0 1 ; 0000000000000000000400130000000 0 1 ; 0000000000000000000400303430303 0 1 ; 0000000000000000000400430301303 0 1 ; 0000000000000000000400430303030 0 1 ; 0000000000000000000400444303030 0 1 ; 0000000000000000000401430000000 0 1 ; 0000000000000000000403030303030 0 1 ; 0000000000000000000404040430303 0 1 ; 0000000000000000000404403013000 0 1 ; 0000000000000000000404403030304 0 1 ; 0000000000000000000413044300000 0 1 ; 0000000000000000000413430130303 1 0 ; 0000000000000000000414301303300 0 1 ; 0000000000000000000423014301113 0 1 ; 0000000000000000000430000000000 0 2 ; 0000000000000000000430303030000 0 1 ; 0000000000000000000430303030304 0 1 ; 0000000000000000000440004041303 0 1 ; 0000000000000000000440043444430 0 1 ; 0000000000000000000440313030304 0 1 ; 0000000000000000000440404303030 1 0 ; 0000000000000000000440404400000 0 1 ; 0000000000000000000440430403030 0 1 ; 0000000000000000000443000000000 0 2 ; 0000000000000000000443013430303 0 1 ; 0000000000000000000443030000000 0 1 ; 0000000000000000000443030303030 0 1 ; 0000000000000000000444044400000 1 0 ; 0000000000000000000444300030000 0 1 ; 0000000000000000000444301000000 0 1 ; 0000000000000000000444443030303 0 1 ; 0000000000000000001130130303030 0 1 ; 0000000000000000001300000000000 0 1 ; 0000000000000000001304303040443 0 1 ; 0000000000000000002000000000000 0 1 ; 0000000000000000002300000000000 0 1 ; 0000000000000000002430300000000 0 1 ; 0000000000000000003000000000000 2 1 ; 0000000000000000003004303041110 0 1 ; 0000000000000000003013000300300 0 1 ; 0000000000000000003013030000000 0 1 ; 0000000000000000003014130003000 0 1 ; 0000000000000000003030002000000 0 1 ; 0000000000000000003030003030000 0 1 ; 0000000000000000003030303030000 1 0 ; 0000000000000000003030303030301 1 0 ; 0000000000000000003030303034303 0 1 ; 0000000000000000003031304043030 0 1 ; 0000000000000000003043004430301 0 1 ; 0000000000000000003043030434303 0 1 ; 0000000000000000003044000000000 0 1 ; 0000000000000000003430300403030 0 1 ; 0000000000000000004000000000000 2 6 ; 0000000000000000004000040400000 0 1 ; 0000000000000000004000403030303 1 0 ; 0000000000000000004001301203030 0 1 ; 0000000000000000004001303030302 0 1 ; 0000000000000000004002000000000 0 1 ; 0000000000000000004003030303030 1 0 ; 0000000000000000004004030300440 0 1 ; 0000000000000000004004044000000 0 1 ; 0000000000000000004011430303034 0 1 ; 0000000000000000004030300030300 0 1 ; 0000000000000000004030340303403 0 1 ; 0000000000000000004030403030000 0 1 ; 0000000000000000004040000000000 0 1 ; 0000000000000000004040303030000 0 1 ; 0000000000000000004041300000000 0 1 ; 0000000000000000004041303000000 0 1 ; 0000000000000000004043030003004 0 1 ; 0000000000000000004044030303040 0 1 ; 0000000000000000004110000000000 0 1 ; 0000000000000000004111411204000 1 0 ; 0000000000000000004113030004030 0 1 ; 0000000000000000004130303030303 0 1 ; 0000000000000000004303030230304 0 1 ; 0000000000000000004303030303013 0 1 ; 0000000000000000004304000000000 0 1 ; 0000000000000000004400040444303 0 1 ; 0000000000000000004400304303030 0 1 ; 0000000000000000004413020043000 0 1 ; 0000000000000000004430230303000 0 1 ; 0000000000000000004430301302030 0 1 ; 0000000000000000004430303030303 0 1 ; 0000000000000000004440000000000 0 1 ; 0000000000000000004440444410441 1 0 ; 0000000000000000004443030000030 0 1 ; 0000000000000000004444003030303 0 1 ; 0000000000000000004444403030303 0 1 ; 0000000000000000010000000000000 0 1 ; 0000000000000000011300303030301 0 1 ; 0000000000000000011414030304404 0 1 ; 0000000000000000013013001303030 1 0 ; 0000000000000000020000000000000 0 1 ; 0000000000000000030000000000000 0 3 ; 0000000000000000030000303030343 0 1 ; 0000000000000000030003004430043 0 1 ; 0000000000000000030014300000000 0 1 ; 0000000000000000030030303013030 0 1 ; 0000000000000000030200000000000 0 1 ; 0000000000000000030230002030000 1 0 ; 0000000000000000030300003030304 0 1 ; 0000000000000000030303030303030 0 1 ; 0000000000000000030303030430304 0 1 ; 0000000000000000030303043030343 0 1 ; 0000000000000000030400013030303 0 1 ; 0000000000000000040000000000000 1 11 ; 0000000000000000040000000003000 1 0 ; 0000000000000000040000000004000 1 0 ; 0000000000000000040000000303033 0 1 ; 0000000000000000040000000304300 0 1 ; 0000000000000000040000230211303 0 1 ; 0000000000000000040000303000000 0 1 ; 0000000000000000040000444413030 0 1 ; 0000000000000000040001301303010 0 1 ; 0000000000000000040001303030300 0 1 ; 0000000000000000040003013013013 1 0 ; 0000000000000000040003403044014 0 1 ; 0000000000000000040004303000000 0 1 ; 0000000000000000040004303430130 0 1 ; 0000000000000000040013030303011 0 1 ; 0000000000000000040030303014304 0 1 ; 0000000000000000040030303030300 0 1 ; 0000000000000000040030401030300 0 1 ; 0000000000000000040040000443010 0 1 ; 0000000000000000040040030303004 0 1 ; 0000000000000000040040030303030 0 1 ; 0000000000000000040040030304430 0 1 ; 0000000000000000040043030303041 0 1 ; 0000000000000000040044140441101 0 1 ; 0000000000000000040130000000000 0 1 ; 0000000000000000040300000130400 0 1 ; 0000000000000000040300442030303 0 1 ; 0000000000000000040303014303000 0 1 ; 0000000000000000040303030230333 0 1 ; 0000000000000000040303030303430 0 1 ; 0000000000000000040303030440300 0 1 ; 0000000000000000040400303030300 0 1 ; 0000000000000000040403302443010 0 1 ; 0000000000000000040413013030000 0 1 ; 0000000000000000040430303030303 0 1 ; 0000000000000000040430400300000 0 1 ; 0000000000000000040430444303030 0 1 ; 0000000000000000040440400300000 0 1 ; 0000000000000000040444412434130 0 1 ; 0000000000000000041304440443043 0 1 ; 0000000000000000043000000000000 0 1 ; 0000000000000000043003000000000 0 1 ; 0000000000000000043004040000000 0 1 ; 0000000000000000043030230230000 1 0 ; 0000000000000000043030301213030 0 1 ; 0000000000000000043030303030130 0 1 ; 0000000000000000043030310000000 0 1 ; 0000000000000000044000000000000 1 1 ; 0000000000000000044000000003030 1 0 ; 0000000000000000044000004304444 0 1 ; 0000000000000000044000430430030 0 1 ; 0000000000000000044000440040130 0 1 ; 0000000000000000044001303030301 0 1 ; 0000000000000000044043303044304 0 1 ; 0000000000000000044044000300030 0 1 ; 0000000000000000044303030300000 0 1 ; 0000000000000000044303440230303 0 1 ; 0000000000000000044400000004000 0 1 ; 0000000000000000044404000000000 0 1 ; 0000000000000000044414443040000 0 1 ; 0000000000000000044430300000000 0 1 ; 0000000000000000044430300413030 0 1 ; 0000000000000000044440000000000 0 1 ; 0000000000000000044440444303023 0 1 ; 0000000000000000044443030303430 0 1 ; 0000000000000000044443030444030 0 1 ; 0000000000000000110013000040000 0 1 ; 0000000000000000130000000000000 0 1 ; 0000000000000000130303030041303 0 1 ; 0000000000000000142213030300000 0 1 ; 0000000000000000230300000000000 0 1 ; 0000000000000000300043030303030 0 1 ; 0000000000000000300044303030304 0 1 ; 0000000000000000301303030230303 0 1 ; 0000000000000000303004301303040 0 1 ; 0000000000000000303010000000000 1 0 ; 0000000000000000303023403030044 0 1 ; 0000000000000000303030300430303 0 1 ; 0000000000000000303030303000000 0 1 ; 0000000000000000303030303030303 0 1 ; 0000000000000000303030304230000 0 1 ; 0000000000000000303043000430004 0 1 ; 0000000000000000304000000000000 0 1 ; 0000000000000000304000004444040 0 1 ; 0000000000000000304430304303030 0 1 ; 0000000000000000304443030304430 0 1 ; 0000000000000000341443030430442 0 1 ; 0000000000000000400000000000000 8 0 ; 0000000000000000400024444440440 1 0 ; 0000000000000000400301343400000 0 1 ; 0000000000000000401130430300000 0 1 ; 0000000000000000404000000000000 1 0 ; 0000000000000000440000000000000 0 1 ; 0000000000000000443004403000000 0 1 ; 0000000000000001000000000000000 0 1 ; 0000000000000001130300000000000 0 1 ; 0000000000000002013030303030303 0 1 ; 0000000000000003000000000000000 0 1 ; 0000000000000003000430400000000 1 0 ; 0000000000000003003000000000000 0 1 ; 0000000000000003003030030000303 0 1 ; 0000000000000003010000000000000 0 1 ; 0000000000000003023004003030303 0 1 ; 0000000000000003030000000000000 0 2 ; 0000000000000003030030000000000 0 1 ; 0000000000000003030130303000001 1 0 ; 0000000000000003030300000000000 1 1 ; 0000000000000003030300043003033 0 1 ; 0000000000000003030301040440303 0 1 ; 0000000000000003030301300000000 0 1 ; 0000000000000003030302230300000 0 1 ; 0000000000000003030303000400303 0 1 ; 0000000000000003030303023030303 0 1 ; 0000000000000003030303044443043 1 0 ; 0000000000000003030304000000000 0 1 ; 0000000000000003030413030230303 0 1 ; 0000000000000004000000000000000 2 1 ; 0000000000000004003042200000000 0 1 ; 0000000000000004030303034303043 0 1 ; 0000000000000004403003030303030 0 1 ; 0000000000000004444301303013013 0 1 ; 0000000000000004444404430400000 0 1 ; 0000000000000011300300000000000 0 1 ; 0000000000000013000000000000000 0 1 ; 0000000000000013030000000000000 0 1 ; 0000000000000030000000000000000 0 2 ; 0000000000000030030303034300000 0 1 ; 0000000000000030100303030303030 0 1 ; 0000000000000030111130443030303 0 1 ; 0000000000000030300000000000000 0 1 ; 0000000000000030301301300303030 0 1 ; 0000000000000030303030303000000 0 1 ; 0000000000000030303030303013000 0 1 ; 0000000000000030303030330303033 0 1 ; 0000000000000030303030403034300 0 1 ; 0000000000000030303040130230130 0 1 ; 0000000000000030303400000000000 0 1 ; 0000000000000030303430342200000 1 0 ; 0000000000000030304030230303030 0 1 ; 0000000000000040000000000000000 6 1 ; 0000000000000040000000000000001 1 0 ; 0000000000000040000000040440044 0 1 ; 0000000000000040000043030301303 0 1 ; 0000000000000040003004002030303 0 1 ; 0000000000000040042303004302303 1 0 ; 0000000000000040044013030313030 0 1 ; 0000000000000040044130303044344 0 1 ; 0000000000000040301444044000000 0 1 ; 0000000000000040303013430300000 0 1 ; 0000000000000041000000000000000 0 1 ; 0000000000000041004130300000000 1 0 ; 0000000000000041130441303430000 0 1 ; 0000000000000042303010000000000 0 1 ; 0000000000000043000000000000000 0 1 ; 0000000000000043030303013011300 0 1 ; 0000000000000044004303430303030 0 1 ; 0000000000000044130000000000000 0 1 ; 0000000000000044300043030044343 0 1 ; 0000000000000044304303430303000 0 1 ; 0000000000000100330303030300000 0 1 ; 0000000000000113011000000000000 0 1 ; 0000000000000130103013000000000 0 1 ; 0000000000000130303030300303030 0 1 ; 0000000000000200303043010030000 0 1 ; 0000000000000230300300000444003 0 1 ; 0000000000000300000000000000000 0 1 ; 0000000000000301303013030303030 0 1 ; 0000000000000301343030040030134 0 1 ; 0000000000000302000000000000000 1 0 ; 0000000000000302013000030000000 0 1 ; 0000000000000302300000000000000 0 1 ; 0000000000000303000000000000000 0 1 ; 0000000000000303000030303000000 1 0 ; 0000000000000303003030303030343 0 1 ; 0000000000000303010000000000000 0 1 ; 0000000000000303012030430441003 0 1 ; 0000000000000303014444003030303 0 1 ; 0000000000000303030004030444043 0 1 ; 0000000000000303030130302220301 0 1 ; 0000000000000303030300034300301 0 1 ; 0000000000000303030303030303033 0 1 ; 0000000000000400030303030303030 0 1 ; 0000000000000400030413400000000 0 1 ; 0000000000000400030430303030303 0 1 ; 0000000000000400043030443030300 0 1 ; 0000000000000400230301303030443 0 1 ; 0000000000000400303030303030130 0 1 ; 0000000000000400430303023030303 0 1 ; 0000000000000403004303030000000 0 1 ; 0000000000000403030000000000000 0 1 ; 0000000000000403030144040000000 1 0 ; 0000000000000403030421303003030 0 1 ; 0000000000000413030430304030443 0 1 ; 0000000000000430004013030303030 0 1 ; 0000000000000430111130000000000 0 1 ; 0000000000000430130000000000000 0 1 ; 0000000000000430203030301303013 0 1 ; 0000000000000430300303030303011 0 1 ; 0000000000000430303030303030300 1 0 ; 0000000000000430303043030303000 0 1 ; 0000000000000443030300300000000 0 1 ; 0000000000000444004303021044430 0 1 ; 0000000000001000000000000000000 0 2 ; 0000000000001000303030000440040 0 1 ; 0000000000001303013030303030000 0 1 ; 0000000000002000000000000000000 0 1 ; 0000000000003000000000000000000 0 6 ; 0000000000003000301030043040303 0 1 ; 0000000000003000303030200000000 0 1 ; 0000000000003003030300303030303 0 1 ; 0000000000003030003013030304030 0 1 ; 0000000000003030030303030300000 0 1 ; 0000000000003030130113030000000 0 1 ; 0000000000003030200140300000000 0 1 ; 0000000000003030230000000000000 0 1 ; 0000000000003030300030030301303 0 1 ; 0000000000003030303000000000000 0 1 ; 0000000000003030303004300040030 0 1 ; 0000000000003030303013030213030 0 1 ; 0000000000003030303030130303030 0 1 ; 0000000000003030303030303030304 0 1 ; 0000000000003030310303013030303 1 0 ; 0000000000003030430443030304430 0 1 ; 0000000000003430300304343030300 0 1 ; 0000000000004000000000000000000 8 9 ; 0000000000004000000000000000040 1 0 ; 0000000000004000000000000030303 1 0 ; 0000000000004000000000400000000 1 0 ; 0000000000004000002030000000000 0 1 ; 0000000000004000004430404443030 0 1 ; 0000000000004000030000000013013 0 1 ; 0000000000004000030303343000000 0 1 ; 0000000000004000043004303000000 0 1 ; 0000000000004000044430303030303 0 1 ; 0000000000004000134303030004044 0 1 ; 0000000000004001130303013030303 0 1 ; 0000000000004003000000000000000 0 1 ; 0000000000004003000430303030303 0 1 ; 0000000000004003030030300000000 1 0 ; 0000000000004003030300100000004 0 1 ; 0000000000004003030303000000000 0 1 ; 0000000000004003030303004300300 0 1 ; 0000000000004003030303041000000 0 1 ; 0000000000004003030343030441123 0 1 ; 0000000000004012303013030300302 0 1 ; 0000000000004013030000000000000 0 1 ; 0000000000004013030303403011003 0 1 ; 0000000000004030100000000000000 0 1 ; 0000000000004030300000000000000 0 1 ; 0000000000004030300111130301411 0 1 ; 0000000000004030300443040430303 1 0 ; 0000000000004030303000000000000 0 1 ; 0000000000004030303030303030303 0 1 ; 0000000000004030430303400303010 0 1 ; 0000000000004040303034443030343 0 1 ; 0000000000004040443030303030000 0 1 ; 0000000000004130000000000000000 0 1 ; 0000000000004130000300000000000 0 1 ; 0000000000004300300030440441303 0 1 ; 0000000000004302030413030302130 0 1 ; 0000000000004303002303030303030 0 1 ; 0000000000004303030300004401303 0 1 ; 0000000000004303030303030003000 0 1 ; 0000000000004400000000000000000 0 1 ; 0000000000004400000400000000000 0 1 ; 0000000000004400304003000000000 0 1 ; 0000000000004430030241203024444 0 1 ; 0000000000004430300000000000000 0 1 ; 0000000000004441300000000000000 0 1 ; 0000000000004441343040303430130 0 1 ; 0000000000004444430000000000000 0 1 ; 0000000000010000000000000000000 1 2 ; 0000000000010003030303000000000 0 1 ; 0000000000010030303030343030004 0 1 ; 0000000000010030304304434303044 0 1 ; 0000000000011303000000000000000 0 1 ; 0000000000013000000000000000000 0 1 ; 0000000000013030000000004230040 0 1 ; 0000000000013030300030303030300 0 1 ; 0000000000013030303030303030343 0 1 ; 0000000000030000000000000000000 0 2 ; 0000000000030030303014430303000 0 1 ; 0000000000030130010000000000000 0 1 ; 0000000000030130230000000000000 0 1 ; 0000000000030200000000000000000 0 1 ; 0000000000030300000000000000000 0 4 ; 0000000000030301130303030303010 0 1 ; 0000000000030303030300430303030 0 1 ; 0000000000030303030303030303030 0 1 ; 0000000000030344303013030303030 0 1 ; 0000000000030440000000000000000 0 1 ; 0000000000040000000000000000000 3 4 ; 0000000000040002003030303020303 0 1 ; 0000000000040004000000000000000 1 0 ; 0000000000040004003030103030144 0 1 ; 0000000000040004030030003004000 0 1 ; 0000000000040013030303030443030 0 1 ; 0000000000040013030400000000000 0 1 ; 0000000000040030130000000000000 0 1 ; 0000000000040030230000303030303 0 1 ; 0000000000040030301130044410023 0 1 ; 0000000000040030303030000444044 0 1 ; 0000000000040030303030030301301 0 1 ; 0000000000040030304300400040044 1 0 ; 0000000000040030304303030301303 0 1 ; 0000000000040044113013430130130 0 1 ; 0000000000040103010044300000000 0 1 ; 0000000000040130213044444303000 0 1 ; 0000000000040300300030303030140 0 1 ; 0000000000040301300300000000000 0 1 ; 0000000000040303024030000000000 0 1 ; 0000000000040303030300000000000 0 1 ; 0000000000040304044044344444444 0 1 ; 0000000000041001000000000000000 0 1 ; 0000000000041303000000000000000 0 1 ; 0000000000043003030000000000000 0 1 ; 0000000000043003030000000303000 0 1 ; 0000000000043030000000000300000 0 1 ; 0000000000043030301303000444403 0 1 ; 0000000000043040000000000000000 1 0 ; 0000000000043040303030303030303 1 0 ; 0000000000044000030303030303030 0 1 ; 0000000000044011303000000000000 0 1 ; 0000000000044303030030303030003 0 1 ; 0000000000044303040303043430303 0 1 ; 0000000000044443043012034303030 1 0 ; 0000000000100303003000000000000 0 1 ; 0000000000100303030430303030303 0 1 ; 0000000000110000000000000000000 0 1 ; 0000000000113000000401000000000 0 1 ; 0000000000113030130303030300000 0 1 ; 0000000000113030303030030130130 0 1 ; 0000000000130000043010300042440 0 1 ; 0000000000130302030300000000000 0 1 ; 0000000000130303030031334330343 0 1 ; 0000000000130403030303043034303 0 1 ; 0000000000141010430443444241414 0 1 ; 0000000000200303130100030303030 0 1 ; 0000000000300000000000000000000 0 1 ; 0000000000300000000030000000000 0 1 ; 0000000000300000303030303030130 0 1 ; 0000000000300030303000000000000 0 1 ; 0000000000300130000000000000000 0 1 ; 0000000000301100300304111303030 1 0 ; 0000000000301130303030000000000 1 0 ; 0000000000303000000004000000000 0 1 ; 0000000000303000030303030303000 0 1 ; 0000000000303000303030403041304 0 1 ; 0000000000303030000000000000000 1 0 ; 0000000000303030303000000000000 0 1 ; 0000000000303030303031303431004 0 1 ; 0000000000303030340413443000000 0 1 ; 0000000000303033030000000000000 1 0 ; 0000000000330130300000000000000 0 1 ; 0000000000343030134301000000000 0 1 ; 0000000000400000000000000000000 1 2 ; 0000000000400003010000030340000 0 1 ; 0000000000400030300000000000000 0 1 ; 0000000000400112000000000000000 0 1 ; 0000000000400300000000000000000 0 1 ; 0000000000400300103330003030341 0 1 ; 0000000000403030300030303010040 0 1 ; 0000000000403030404404030000000 0 1 ; 0000000000404300000000000120400 0 1 ; 0000000000404303034301343000000 0 1 ; 0000000000404344140000000000000 0 1 ; 0000000000413030004304300300000 0 1 ; 0000000000413030303030303430130 0 1 ; 0000000000423030304003030303003 0 1 ; 0000000000430000000000000000000 0 2 ; 0000000000430030303030000000000 0 1 ; 0000000000430030303030300000000 0 1 ; 0000000000430303004300000000000 0 1 ; 0000000000430303030111213113000 0 1 ; 0000000000430303030130300000000 0 1 ; 0000000000430303030301303430403 0 1 ; 0000000000430303033041000414044 0 1 ; 0000000000440113044013012121301 0 1 ; 0000000000440303030343030300000 0 1 ; 0000000000441303010000000000000 0 1 ; 0000000001003034303014302030000 0 1 ; 0000000001004103030101303030303 1 0 ; 0000000001400000000000000000000 0 1 ; 0000000001430303013040400243030 0 1 ; 0000000002000300003030003030300 0 1 ; 0000000002030443044303044443030 0 1 ; 0000000002043030301303000000000 0 1 ; 0000000003000003130444444444440 0 1 ; 0000000003000303043030303000000 0 1 ; 0000000003020303030303030303404 1 0 ; 0000000003030003000303030300300 0 1 ; 0000000003030303013030302434303 0 1 ; 0000000003030303030000000000000 1 0 ; 0000000003030303430000000000000 1 0 ; 0000000003430303030410000000000 0 1 ; 0000000004000000000000000000000 1 0 ; 0000000004000000130130302030303 0 1 ; 0000000004000003000304040400000 0 1 ; 0000000004000030000000000000000 0 1 ; 0000000004000110000000000000000 0 1 ; 0000000004000303004000013030003 0 1 ; 0000000004000303013030303030300 0 1 ; 0000000004001130000000000000000 0 1 ; 0000000004003003134120000000000 0 1 ; 0000000004003030303030300430303 0 1 ; 0000000004004100000000000000000 0 1 ; 0000000004013030430000000000000 0 1 ; 0000000004030303030300303000000 0 1 ; 0000000004030303030303004441111 0 1 ; 0000000004030303030303030300000 0 1 ; 0000000004030304430303003030301 0 1 ; 0000000004040000000000000000000 0 1 ; 0000000004040013030000000000000 0 1 ; 0000000004040020301000003000000 0 1 ; 0000000004040303000303303033030 0 1 ; 0000000004041030303030043030302 0 1 ; 0000000004044413430304000000000 0 1 ; 0000000004110030303030303000000 0 1 ; 0000000004120303034130303030304 0 1 ; 0000000004130301303013000000000 0 1 ; 0000000004130303034304030444440 0 1 ; 0000000004201030301303000430144 0 1 ; 0000000004220030303000000000001 0 1 ; 0000000004303030000000000000000 0 1 ; 0000000004303030301130303000000 0 1 ; 0000000004303030303000041440130 0 1 ; 0000000004303030303011343030303 0 1 ; 0000000004400000000000030303040 0 1 ; 0000000004400001030000000000000 0 1 ; 0000000004420030343013030303030 0 1 ; 0000000004430033000000000000000 0 1 ; 0000000004430302000000000000000 0 1 ; 0000000004444130303034303030303 0 1 ; 0000000010000000004134303030000 0 1 ; 0000000010000030000000000000000 0 1 ; 0000000010113030030130303030301 0 1 ; 0000000010303030344443030303030 0 1 ; 0000000010400303030303030000000 0 1 ; 0000000011303000020000000000000 0 1 ; 0000000013030303030303004040444 0 1 ; 0000000013030304304303030303030 0 1 ; 0000000020303030301344000000000 0 1 ; 0000000020303030343303030303004 0 1 ; 0000000024303000000000000000000 0 1 ; 0000000030000000000000000000000 0 1 ; 0000000030000130301302303004403 0 1 ; 0000000030013030343442030234000 0 1 ; 0000000030030000000000000000000 0 1 ; 0000000030030301303003000000000 0 1 ; 0000000030100300303030303000041 1 0 ; 0000000030130344441301340000301 0 1 ; 0000000030303003303303013433044 0 1 ; 0000000030303010300304300030114 0 1 ; 0000000030303023030130000000000 0 1 ; 0000000030303030303030303030303 0 1 ; 0000000030402303010000000000000 0 1 ; 0000000030413030130301303000030 0 1 ; 0000000030430304303013043030424 0 1 ; 0000000040000000000000000000000 4 3 ; 0000000040000000000400000000000 1 0 ; 0000000040000303030303043030400 0 1 ; 0000000040002030303030303400430 0 1 ; 0000000040010000001443030303030 0 1 ; 0000000040010303030303301303013 0 1 ; 0000000040011030300044303030303 0 1 ; 0000000040030000000000000000000 0 1 ; 0000000040030303030303030303030 0 1 ; 0000000040100013013030303430430 0 1 ; 0000000040123030303013030303040 0 1 ; 0000000040300301003030300030300 0 1 ; 0000000040303013000000000000000 0 1 ; 0000000040303030130303030230330 0 1 ; 0000000040303030304030013300400 0 1 ; 0000000040303030310302000000000 0 1 ; 0000000041301303030303034343430 0 1 ; 0000000043000303030303000000000 1 0 ; 0000000043030300300000000000000 0 1 ; 0000000043030303001303030303030 0 1 ; 0000000043030303030000000000000 0 1 ; 0000000044000000000000000000000 0 1 ; 0000000044000303000000000000000 0 1 ; 0000000044003030030000000000000 0 1 ; 0000000101130003030304000000000 0 1 ; 0000000103030301004430440303043 0 1 ; 0000000200000000000000000000000 0 1 ; 0000000300000000000000000000000 1 1 ; 0000000300000100000000000000000 0 1 ; 0000000300000303030100000000000 0 1 ; 0000000300000303030300000000000 0 1 ; 0000000300303030304303030303030 0 1 ; 0000000301201313130000000000000 1 0 ; 0000000301301400303430000000000 0 1 ; 0000000302420000000000000000000 0 1 ; 0000000303000000000000000000000 0 1 ; 0000000303013012301341002013030 0 1 ; 0000000303030300000000000000000 2 0 ; 0000000303030300000440444444444 0 1 ; 0000000303040043043000000000000 1 0 ; 0000000304000000000000000000000 0 1 ; 0000000304004303004004000000000 0 1 ; 0000000400000000000000000000000 3 1 ; 0000000400000300303043044040301 0 1 ; 0000000400000303400004000000000 0 1 ; 0000000400001300043003030443413 0 1 ; 0000000400034303030000000000000 0 1 ; 0000000400040003030303030430000 0 1 ; 0000000400303003030113030300000 0 1 ; 0000000401303030000000000000000 0 1 ; 0000000401430304030010303030130 0 1 ; 0000000403000302113030303030130 1 0 ; 0000000403013030000000000000000 1 0 ; 0000000404000000000000000000000 0 1 ; 0000000404130300000000000000000 0 1 ; 0000000404130303011303030303030 0 1 ; 0000000411303030030130303030303 0 1 ; 0000000430000303000000000000000 0 1 ; 0000000430104303023030303030303 0 1 ; 0000000430303000000000000000000 0 1 ; 0000000430303003034303030413000 0 1 ; 0000000430304310000000000000000 0 1 ; 0000000440303000113030303030304 0 1 ; 0000000441440001010443100000000 0 1 ; 0000000442403034130343030000040 0 1 ; 0000000443030303030000000000000 0 1 ; 0000001000000000000000000000000 0 1 ; 0000001012000000000000400000000 0 1 ; 0000001303031303030300000000000 0 1 ; 0000003000303000000000000000000 0 1 ; 0000003030000000000000000000000 0 1 ; 0000003030003030300000000000000 0 1 ; 0000003030003033003030313041303 0 1 ; 0000003030300000000000000000000 0 1 ; 0000003030302023030400000000000 0 1 ; 0000003030303030000000000000000 0 1 ; 0000003030303030303044423044044 0 1 ; 0000003030303030304303013013030 0 1 ; 0000003030303031043000000000000 0 1 ; 0000003044040303004404040444444 0 1 ; 0000004001030000000000000000000 1 0 ; 0000004001200113434000000000000 0 1 ; 0000004003030303000000304443044 0 1 ; 0000004013030303030300000000000 0 1 ; 0000004040303030303030301300000 0 1 ; 0000004043000030303030030301304 0 1 ; 0000004202030130301301303000000 0 1 ; 0000004302000000000000000000000 0 1 ; 0000004303003030301303030303030 0 1 ; 0000004303013012301304444303040 0 1 ; 0000010000000000000000000000000 0 1 ; 0000010303013000000000000400000 0 1 ; 0000010430300303004134330000000 0 1 ; 0000013000000000000000000000000 0 1 ; 0000013001300000000000000000044 0 1 ; 0000013031303030303430443030301 0 1 ; 0000030030000000000000000000000 1 0 ; 0000030100000031303130330343000 0 1 ; 0000030300000000000000000000000 0 1 ; 0000030300430330300000000000000 0 1 ; 0000030301443030303030140444300 0 1 ; 0000030302030303011303030304004 0 1 ; 0000030303000000000000000000000 1 0 ; 0000030303000300000000000000000 1 0 ; 0000030303003000000000000000000 0 1 ; 0000030303023030303003000301303 0 1 ; 0000030303030300304000000000000 0 1 ; 0000030303030303430330303032303 0 1 ; 0000030303303030303030313431303 0 1 ; 0000100301303000303030303000000 0 1 ; 0000130300000100000000000000000 0 1 ; 0000300000000000000000000000000 0 1 ; 0000300303031300000000000000000 0 1 ; 0000301030303030030000000000000 0 1 ; 0000301303400000000000000000000 1 0 ; 0000400000000000000000000000000 2 1 ; 0000400000000030303030300044004 0 1 ; 0000400010303000300020000000000 0 1 ; 0000400030000000000000000000000 0 1 ; 0000400033333030303030340034300 0 1 ; 0000400041301303030303030000000 0 1 ; 0000400130013030303030300430440 0 1 ; 0000400300000000000000000000000 0 1 ; 0000400303030303030303030230300 0 1 ; 0000400400000000000000000000000 0 1 ; 0000400403030113000000000000000 0 1 ; 0000400404303013030303000000000 0 1 ; 0000400430003030303000000000000 0 1 ; 0000403002043000303030303013030 0 1 ; 0000403011000303100300403030000 0 1 ; 0000403030303000000000000000000 0 1 ; 0000403030303030303030300040400 0 1 ; 0000413030030303030303030303030 0 1 ; 0000413030300000000000000000000 0 1 ; 0000413030303033033303311211303 0 1 ; 0000443003030303400000000000000 1 0 ; 0001000000000000000000000000000 0 1 ; 0001043030030000000000000000000 0 1 ; 0001303010303023030301303000400 0 1 ; 0002030030300000000113230000000 0 1 ; 0002300030303041000000000000000 0 1 ; 0003000000000000000000000000000 0 1 ; 0003003030003000000000000000000 0 1 ; 0003003030030030300000000000000 0 1 ; 0003030300003002303030303000000 0 1 ; 0003030300034301034303431313030 0 1 ; 0003030302430030300000000000000 0 1 ; 0003030303030303010000000000000 0 1 ; 0004000000000000000000000000000 12 8 ; 0004000000000400000000000000000 1 0 ; 0004000000004000004400030400000 0 1 ; 0004000010302304030441301243034 0 1 ; 0004000023030130004403044130000 1 0 ; 0004000030330303030400000400404 1 0 ; 0004000043030330330313230304030 0 1 ; 0004000130110000000000000000000 0 1 ; 0004000130304303000000000000000 0 1 ; 0004000300030300000000000000000 0 1 ; 0004000302001303014013030304004 0 1 ; 0004000303023030341400004303030 0 1 ; 0004000303024030110000000000000 0 1 ; 0004000303030330303030330304400 0 1 ; 0004000400023000040410000400000 0 1 ; 0004001000000300000000000000000 0 1 ; 0004001303033030303412303434303 0 1 ; 0004003030300400000000000000000 1 0 ; 0004003030301030030000000000000 0 1 ; 0004003030303003030000000000000 0 1 ; 0004003030304303030304304444043 0 1 ; 0004004303030300414000000000000 0 1 ; 0004004403003030303044304444403 0 1 ; 0004011030303030303430000000000 0 1 ; 0004011301301030303440044300000 0 1 ; 0004030000000000000000000000000 0 1 ; 0004030430000000000000000000000 1 0 ; 0004034300300030130044444440030 0 1 ; 0004041000044303023021023030300 0 1 ; 0004043000000000000000000000013 0 1 ; 0004043033030000000000000000000 0 1 ; 0004044301303030303030000000000 0 1 ; 0004100000303030301303013040400 0 1 ; 0004100100303030304430304440044 0 1 ; 0004101303013030330030303030300 0 1 ; 0004130000000000000000000000000 0 1 ; 0004130303030440300000000000000 0 1 ; 0004300303043030230413030304030 0 1 ; 0004343030303030000000000000000 0 1 ; 0004400000000000000000000000000 1 0 ; 0004400044430303430403030000000 0 1 ; 0004400303010030301303030303030 0 1 ; 0004400400430304130303030230100 0 1 ; 0004403030030030303030303030400 0 1 ; 0004403030303030103030300000000 0 1 ; 0004404000403030303000000000000 1 0 ; 0004404004430000000000000000000 0 1 ; 0004413030100303030303002210303 0 1 ; 0004420000000000000000000000000 0 1 ; 0004430303430430300303030000000 0 1 ; 0004440400000000000000000000000 0 1 ; 0004443030303010000000000000000 0 1 ; 0013030303030301030000000000000 0 1 ; 0023000043030320000000000000000 0 1 ; 0030000030130303030303044440444 0 1 ; 0030003030000000303030004444440 1 0 ; 0030303013430303004103034120000 0 1 ; 0030303030011300000000000000000 1 0 ; 0030303033020130300444440440000 1 0 ; 0040000000000000000000000000000 0 3 ; 0040000430000000000000000000000 0 1 ; 0040000443404004000303013430130 0 1 ; 0040001243030000000000000000000 0 1 ; 0040001301113300303034004430430 0 1 ; 0040003030003030100034343023044 0 1 ; 0040003030004303043000230300300 0 1 ; 0040003432030130430304341304304 0 1 ; 0040030130303030130443030430303 0 1 ; 0040030300430300000000000000000 0 1 ; 0040030303030003430303030303013 0 1 ; 0040130130430000000000000000000 0 1 ; 0040300030303021301304430404404 0 1 ; 0040400030000303014000004044400 0 1 ; 0040401301304111301300000000000 0 1 ; 0041030130030430030303044430340 0 1 ; 0041430303034300330430303430303 0 1 ; 0043000000000000000000000000000 1 1 ; 0044000000000000000000000000000 2 2 ; 0044000001043030303043030303030 0 1 ; 0044000031300000000000400000000 0 1 ; 0044003024330110100040000000000 0 1 ; 0044003440043033003030304444040 0 1 ; 0044004001303030300000000000000 0 1 ; 0044013013030303030304303034244 0 1 ; 0044110030343000000000000000000 0 1 ; 0044300000441303000000000000000 0 1 ; 0044400000000000000000000000000 0 2 ; 0044400030303000000000000000000 0 1 ; 0044400303030044443443044404440 0 1 ; 0044403010000000000000000000000 0 1 ; 0044430303013000000400000000000 0 1 ; 0044440200000000000000000000000 0 1 ; 0044440300000000000000000000000 0 1 ; 0100101303003000300000000000000 0 1 ; 0300003033013030304040000000000 1 0 ; 0303000003431330313030430044444 0 1 ; 0400000000000000000000000000000 2 1 ; 0400000110103001343011040000004 0 1 ; 0400000303030304000003000000000 0 1 ; 0400003030030040304113030444000 0 1 ; 0400003343030303030343030303003 0 1 ; 0400013020000000000000000000000 0 1 ; 0400402013013030300000000000000 0 1 ; 0400424203000303030301303013030 0 1 ; 0403000300011113010140343030300 0 1 ; 0404041020100000000000000000000 0 1 ; 0404400130300330330303030304041 0 1 ; 0410303030030003003030304040001 1 0 ; 0440000030040000000000000444440 0 1 ; 0440000030302030130301000000000 1 0 ; 0440140300030300000000000000000 0 1 ; 0440330000000000000000000000000 0 1 ; 0441113004340301113031111111301 0 1 ; 0443010043030000000000000000000 0 1 ; 0444030302003000303030303030130 0 1 ; 0444300030304300030413230341300 0 1 ; 0444444130301200000000000000000 0 1 ; 1030003030004413010000000000000 1 0 ; 1130303030303030303030303010444 1 0 ; 3030003030303030004300000000000 1 0 ; 4000000000000000000000000000000 2 2 ; 4000000030303030030300400444040 0 1 ; 4000000300030301303030304303013 0 1 ; 4000000440400440000000000000000 1 0 ; 4000040300303030300000000000000 0 1 ; 4001301030302303010000000000000 0 1 ; 4003000000000000000000000000000 0 1 ; 4003013030103013000000000000000 0 1 ; 4003030004000000000000000000000 0 1 ; 4003030302030003030300030303000 1 0 ; 4003040300030130030000000000000 0 1 ; 4004011303400000000030313030000 1 0 ; 4004400000000000000000000000000 0 1 ; 4004401030301443030303000000000 0 1 ; 4013030302033010030403303030004 0 1 ; 4030443013030303043030304030403 0 1 ; 4043030303430304304130303030000 0 1 ; 4044000003000400041141114144043 0 1 ; 4044100420300000000000000000000 0 1 ; 4044303030030434303041300230400 0 1 ; 4044400003004000000000000000000 0 1 ; 4044430030301303030303030302040 0 1 ; 4044444303030303043013030443044 0 1 ; 4101303030300343023444430130444 0 1 ; 4400013030300000000000000000000 0 1 ; 4430303030413030303010000000000 0 1 ; 4443030303000304303000000000000 0 1 ; 4444303014130430341430030444440 0 1 ;