0000000000000000000000000000001 1 9 ; 0000000000000000000000000000003 2 18 ; 0000000000000000000000000000004 12 51 ; 0000000000000000000000000000011 0 1 ; 0000000000000000000000000000013 0 3 ; 0000000000000000000000000000020 0 1 ; 0000000000000000000000000000023 0 1 ; 0000000000000000000000000000030 0 15 ; 0000000000000000000000000000034 0 1 ; 0000000000000000000000000000040 4 25 ; 0000000000000000000000000000041 0 3 ; 0000000000000000000000000000043 0 2 ; 0000000000000000000000000000044 1 7 ; 0000000000000000000000000000104 0 1 ; 0000000000000000000000000000113 0 1 ; 0000000000000000000000000000130 0 3 ; 0000000000000000000000000000300 0 7 ; 0000000000000000000000000000301 0 2 ; 0000000000000000000000000000303 0 4 ; 0000000000000000000000000000330 0 1 ; 0000000000000000000000000000400 6 22 ; 0000000000000000000000000000401 0 2 ; 0000000000000000000000000000402 0 1 ; 0000000000000000000000000000403 2 2 ; 0000000000000000000000000000404 1 5 ; 0000000000000000000000000000410 0 1 ; 0000000000000000000000000000413 0 1 ; 0000000000000000000000000000430 2 1 ; 0000000000000000000000000000440 0 4 ; 0000000000000000000000000000444 0 5 ; 0000000000000000000000000001000 1 0 ; 0000000000000000000000000001200 0 1 ; 0000000000000000000000000001300 1 0 ; 0000000000000000000000000001301 0 1 ; 0000000000000000000000000001303 0 1 ; 0000000000000000000000000002000 1 1 ; 0000000000000000000000000003000 0 3 ; 0000000000000000000000000003001 0 1 ; 0000000000000000000000000003002 1 0 ; 0000000000000000000000000003003 0 2 ; 0000000000000000000000000003020 0 1 ; 0000000000000000000000000003030 0 7 ; 0000000000000000000000000003033 0 1 ; 0000000000000000000000000004000 7 0 ; 0000000000000000000000000004030 0 1 ; 0000000000000000000000000004103 0 2 ; 0000000000000000000000000004303 1 1 ; 0000000000000000000000000004304 0 1 ; 0000000000000000000000000004430 1 0 ; 0000000000000000000000000004444 1 0 ; 0000000000000000000000000012303 0 1 ; 0000000000000000000000000013030 0 2 ; 0000000000000000000000000013044 1 0 ; 0000000000000000000000000020000 0 1 ; 0000000000000000000000000023000 1 0 ; 0000000000000000000000000030000 0 4 ; 0000000000000000000000000030003 0 1 ; 0000000000000000000000000030100 1 0 ; 0000000000000000000000000030103 0 1 ; 0000000000000000000000000030130 1 0 ; 0000000000000000000000000030300 0 1 ; 0000000000000000000000000030303 0 4 ; 0000000000000000000000000030343 0 1 ; 0000000000000000000000000040000 6 14 ; 0000000000000000000000000040001 0 3 ; 0000000000000000000000000040002 0 1 ; 0000000000000000000000000040003 0 1 ; 0000000000000000000000000040013 0 2 ; 0000000000000000000000000040030 0 4 ; 0000000000000000000000000040130 0 1 ; 0000000000000000000000000040300 0 1 ; 0000000000000000000000000040301 0 1 ; 0000000000000000000000000040303 0 2 ; 0000000000000000000000000041011 1 0 ; 0000000000000000000000000041303 0 2 ; 0000000000000000000000000042000 0 1 ; 0000000000000000000000000043000 0 1 ; 0000000000000000000000000043003 0 2 ; 0000000000000000000000000043004 0 1 ; 0000000000000000000000000043013 0 1 ; 0000000000000000000000000043030 0 4 ; 0000000000000000000000000044000 0 1 ; 0000000000000000000000000044030 0 4 ; 0000000000000000000000000044130 0 1 ; 0000000000000000000000000044300 2 0 ; 0000000000000000000000000130134 1 0 ; 0000000000000000000000000200000 0 1 ; 0000000000000000000000000203030 1 0 ; 0000000000000000000000000230000 0 1 ; 0000000000000000000000000230111 0 1 ; 0000000000000000000000000300000 0 5 ; 0000000000000000000000000300303 0 1 ; 0000000000000000000000000300330 0 1 ; 0000000000000000000000000301000 0 1 ; 0000000000000000000000000301030 0 1 ; 0000000000000000000000000301300 0 1 ; 0000000000000000000000000303000 1 1 ; 0000000000000000000000000303030 1 11 ; 0000000000000000000000000303031 0 1 ; 0000000000000000000000000400000 3 3 ; 0000000000000000000000000400002 0 1 ; 0000000000000000000000000401121 0 1 ; 0000000000000000000000000401303 0 2 ; 0000000000000000000000000403040 0 1 ; 0000000000000000000000000404044 1 0 ; 0000000000000000000000000404301 0 1 ; 0000000000000000000000000410010 0 1 ; 0000000000000000000000000411301 0 1 ; 0000000000000000000000000430000 1 1 ; 0000000000000000000000000430003 1 0 ; 0000000000000000000000000430300 0 1 ; 0000000000000000000000000430301 0 1 ; 0000000000000000000000000430303 0 2 ; 0000000000000000000000000443000 0 3 ; 0000000000000000000000000443030 0 1 ; 0000000000000000000000000444130 0 1 ; 0000000000000000000000000444303 1 0 ; 0000000000000000000000000444413 0 1 ; 0000000000000000000000001000000 1 1 ; 0000000000000000000000001030000 0 1 ; 0000000000000000000000001030130 0 1 ; 0000000000000000000000001300000 0 1 ; 0000000000000000000000001301302 0 1 ; 0000000000000000000000001303030 0 1 ; 0000000000000000000000001430300 0 1 ; 0000000000000000000000002030343 0 1 ; 0000000000000000000000002030430 1 0 ; 0000000000000000000000002034430 0 1 ; 0000000000000000000000003000000 0 2 ; 0000000000000000000000003030000 0 1 ; 0000000000000000000000003030023 0 1 ; 0000000000000000000000003030300 0 1 ; 0000000000000000000000003041303 0 1 ; 0000000000000000000000003043030 0 1 ; 0000000000000000000000004000000 8 9 ; 0000000000000000000000004003041 0 1 ; 0000000000000000000000004011303 0 1 ; 0000000000000000000000004013000 0 1 ; 0000000000000000000000004013010 0 1 ; 0000000000000000000000004013013 0 1 ; 0000000000000000000000004013030 1 0 ; 0000000000000000000000004013044 0 1 ; 0000000000000000000000004030030 0 1 ; 0000000000000000000000004030303 0 1 ; 0000000000000000000000004040303 0 1 ; 0000000000000000000000004041301 0 1 ; 0000000000000000000000004043000 0 2 ; 0000000000000000000000004043021 0 1 ; 0000000000000000000000004044030 0 1 ; 0000000000000000000000004230303 0 1 ; 0000000000000000000000004301303 0 1 ; 0000000000000000000000004302100 0 1 ; 0000000000000000000000004302130 0 1 ; 0000000000000000000000004303000 0 2 ; 0000000000000000000000004303030 0 2 ; 0000000000000000000000004400303 0 1 ; 0000000000000000000000004401103 0 1 ; 0000000000000000000000004413000 0 1 ; 0000000000000000000000004413030 0 1 ; 0000000000000000000000004423013 0 1 ; 0000000000000000000000004430000 0 1 ; 0000000000000000000000004430440 0 1 ; 0000000000000000000000004441000 0 1 ; 0000000000000000000000004443030 0 2 ; 0000000000000000000000010000000 0 1 ; 0000000000000000000000010030003 0 1 ; 0000000000000000000000011103000 1 0 ; 0000000000000000000000011304044 0 1 ; 0000000000000000000000013000000 0 1 ; 0000000000000000000000013030013 0 1 ; 0000000000000000000000014230303 0 1 ; 0000000000000000000000030000000 0 1 ; 0000000000000000000000030010000 0 1 ; 0000000000000000000000030203030 0 1 ; 0000000000000000000000030230304 0 1 ; 0000000000000000000000030303000 0 1 ; 0000000000000000000000030303030 1 1 ; 0000000000000000000000030340000 0 1 ; 0000000000000000000000030403013 0 1 ; 0000000000000000000000040000000 3 5 ; 0000000000000000000000040000130 0 1 ; 0000000000000000000000040003030 0 1 ; 0000000000000000000000040004441 1 0 ; 0000000000000000000000040030000 0 1 ; 0000000000000000000000040030300 0 1 ; 0000000000000000000000040041123 0 1 ; 0000000000000000000000040041301 0 1 ; 0000000000000000000000040043030 0 1 ; 0000000000000000000000040043034 0 1 ; 0000000000000000000000040043130 0 1 ; 0000000000000000000000040044130 0 1 ; 0000000000000000000000040301303 0 1 ; 0000000000000000000000040303030 0 1 ; 0000000000000000000000040413000 0 1 ; 0000000000000000000000040430300 0 1 ; 0000000000000000000000041200130 0 1 ; 0000000000000000000000041230030 0 1 ; 0000000000000000000000041230302 1 0 ; 0000000000000000000000042130010 0 1 ; 0000000000000000000000043003000 0 1 ; 0000000000000000000000043003030 0 1 ; 0000000000000000000000043030303 0 3 ; 0000000000000000000000043034303 0 2 ; 0000000000000000000000044000000 0 1 ; 0000000000000000000000044030040 0 1 ; 0000000000000000000000044300000 0 1 ; 0000000000000000000000100230301 0 1 ; 0000000000000000000000100303000 0 1 ; 0000000000000000000000130443000 1 0 ; 0000000000000000000000140300400 0 1 ; 0000000000000000000000204301303 1 0 ; 0000000000000000000000230000000 0 1 ; 0000000000000000000000300000000 0 4 ; 0000000000000000000000300013000 0 1 ; 0000000000000000000000300030000 0 1 ; 0000000000000000000000300430341 0 1 ; 0000000000000000000000301300000 0 1 ; 0000000000000000000000301303030 0 1 ; 0000000000000000000000303000000 0 1 ; 0000000000000000000000303030043 0 1 ; 0000000000000000000000303030300 1 0 ; 0000000000000000000000303030303 0 2 ; 0000000000000000000000303030343 0 1 ; 0000000000000000000000303030430 1 0 ; 0000000000000000000000303033303 0 1 ; 0000000000000000000000303130303 0 1 ; 0000000000000000000000304003000 0 1 ; 0000000000000000000000400000000 2 12 ; 0000000000000000000000400000303 0 1 ; 0000000000000000000000400000400 0 1 ; 0000000000000000000000400003000 0 1 ; 0000000000000000000000400030343 0 1 ; 0000000000000000000000400040303 0 1 ; 0000000000000000000000400120130 1 0 ; 0000000000000000000000400130302 0 1 ; 0000000000000000000000400210044 1 0 ; 0000000000000000000000400300100 0 1 ; 0000000000000000000000400301302 0 1 ; 0000000000000000000000400441440 1 0 ; 0000000000000000000000402003030 0 1 ; 0000000000000000000000403000000 0 1 ; 0000000000000000000000403000030 0 1 ; 0000000000000000000000403003003 0 1 ; 0000000000000000000000403003430 0 1 ; 0000000000000000000000403024301 0 1 ; 0000000000000000000000404001301 0 1 ; 0000000000000000000000404030030 0 1 ; 0000000000000000000000404030303 0 1 ; 0000000000000000000000404041303 0 1 ; 0000000000000000000000404230300 0 1 ; 0000000000000000000000404230401 0 1 ; 0000000000000000000000404300000 0 1 ; 0000000000000000000000404300343 0 1 ; 0000000000000000000000404303003 0 1 ; 0000000000000000000000404303023 0 1 ; 0000000000000000000000404303030 0 2 ; 0000000000000000000000404413013 0 1 ; 0000000000000000000000404441303 1 0 ; 0000000000000000000000410000000 0 1 ; 0000000000000000000000424000000 0 1 ; 0000000000000000000000430000000 0 3 ; 0000000000000000000000430301303 0 1 ; 0000000000000000000000434030100 0 1 ; 0000000000000000000000440300300 0 1 ; 0000000000000000000000440301043 0 1 ; 0000000000000000000000440301303 0 1 ; 0000000000000000000000440441303 0 1 ; 0000000000000000000000441230302 0 1 ; 0000000000000000000000441301303 0 1 ; 0000000000000000000000443030000 1 0 ; 0000000000000000000000443030003 0 1 ; 0000000000000000000000443030303 0 1 ; 0000000000000000000000444000000 0 1 ; 0000000000000000000001300043030 0 1 ; 0000000000000000000001301303030 0 1 ; 0000000000000000000001303003013 0 1 ; 0000000000000000000001303030301 0 1 ; 0000000000000000000001303030302 0 1 ; 0000000000000000000001303030303 0 1 ; 0000000000000000000001434200000 0 1 ; 0000000000000000000003013013003 0 1 ; 0000000000000000000003030030000 0 1 ; 0000000000000000000003030303000 0 1 ; 0000000000000000000003030303030 0 2 ; 0000000000000000000003030304443 1 0 ; 0000000000000000000003043030302 0 1 ; 0000000000000000000004000000000 0 7 ; 0000000000000000000004003000000 0 1 ; 0000000000000000000004030030001 0 1 ; 0000000000000000000004030100000 0 1 ; 0000000000000000000004030301300 0 1 ; 0000000000000000000004040000000 0 1 ; 0000000000000000000004044300000 0 1 ; 0000000000000000000004044303033 0 1 ; 0000000000000000000004301030030 0 1 ; 0000000000000000000004303013030 0 1 ; 0000000000000000000004303430303 0 1 ; 0000000000000000000004400413000 0 1 ; 0000000000000000000004403030044 0 1 ; 0000000000000000000004410000000 0 1 ; 0000000000000000000004440030303 0 1 ; 0000000000000000000010301301300 0 1 ; 0000000000000000000011000000000 0 1 ; 0000000000000000000013020000000 0 1 ; 0000000000000000000013030303030 0 1 ; 0000000000000000000020300030000 0 1 ; 0000000000000000000030000000000 0 1 ; 0000000000000000000030000030300 0 1 ; 0000000000000000000030001033300 0 1 ; 0000000000000000000030030010000 1 0 ; 0000000000000000000030103030100 0 1 ; 0000000000000000000030300000000 0 2 ; 0000000000000000000030301302030 0 1 ; 0000000000000000000030303010300 0 1 ; 0000000000000000000030303030001 0 1 ; 0000000000000000000030303430313 0 1 ; 0000000000000000000033030300000 0 1 ; 0000000000000000000040000000000 1 7 ; 0000000000000000000040000003000 0 1 ; 0000000000000000000040000013003 0 1 ; 0000000000000000000040002303030 0 1 ; 0000000000000000000040013011343 0 1 ; 0000000000000000000040030240000 0 1 ; 0000000000000000000040030300030 0 1 ; 0000000000000000000040044430330 0 1 ; 0000000000000000000040230030013 0 1 ; 0000000000000000000040240211300 0 1 ; 0000000000000000000040300030303 0 1 ; 0000000000000000000040303000000 0 1 ; 0000000000000000000040400000000 1 1 ; 0000000000000000000040403000000 0 1 ; 0000000000000000000040403030303 1 0 ; 0000000000000000000041300430301 1 0 ; 0000000000000000000041303003030 0 1 ; 0000000000000000000043013001303 0 1 ; 0000000000000000000043030301303 0 1 ; 0000000000000000000043030303011 0 1 ; 0000000000000000000043030303030 1 0 ; 0000000000000000000043034300000 0 1 ; 0000000000000000000044030303000 0 2 ; 0000000000000000000100000000000 0 1 ; 0000000000000000000110303030300 1 0 ; 0000000000000000000130303030013 0 1 ; 0000000000000000000130303030303 0 1 ; 0000000000000000000300000000000 0 1 ; 0000000000000000000301030303030 0 1 ; 0000000000000000000301303013013 0 1 ; 0000000000000000000301303030000 0 1 ; 0000000000000000000303003030303 0 1 ; 0000000000000000000303013430303 0 1 ; 0000000000000000000303023030300 0 1 ; 0000000000000000000303030000000 0 1 ; 0000000000000000000303030030303 0 1 ; 0000000000000000000303030301300 0 1 ; 0000000000000000000303030303030 0 3 ; 0000000000000000000304404404040 1 0 ; 0000000000000000000340000000000 0 1 ; 0000000000000000000400000000000 8 1 ; 0000000000000000000400030230303 0 1 ; 0000000000000000000400040030120 0 1 ; 0000000000000000000400043430000 1 0 ; 0000000000000000000400300300000 0 1 ; 0000000000000000000401000000000 0 1 ; 0000000000000000000401300301013 0 1 ; 0000000000000000000403000303030 0 1 ; 0000000000000000000403030000303 0 1 ; 0000000000000000000403030303011 0 1 ; 0000000000000000000404300000000 1 0 ; 0000000000000000000404303030000 0 1 ; 0000000000000000000421303000000 0 1 ; 0000000000000000000423010000000 0 1 ; 0000000000000000000430013030303 0 1 ; 0000000000000000000430030000000 0 1 ; 0000000000000000000430303030303 1 0 ; 0000000000000000000440000000000 0 1 ; 0000000000000000000441030130303 0 1 ; 0000000000000000000441303030302 0 1 ; 0000000000000000000443043000000 0 1 ; 0000000000000000000444030303030 0 1 ; 0000000000000000001000000000000 0 1 ; 0000000000000000001130000000000 0 1 ; 0000000000000000001300000000000 0 1 ; 0000000000000000002000000000000 0 1 ; 0000000000000000002300003030000 1 0 ; 0000000000000000002303030302303 0 1 ; 0000000000000000003000000000000 1 3 ; 0000000000000000003000004443000 0 1 ; 0000000000000000003000303030303 0 1 ; 0000000000000000003001013030300 1 0 ; 0000000000000000003010000000000 0 1 ; 0000000000000000003013000000000 0 1 ; 0000000000000000003013001303030 0 1 ; 0000000000000000003013030013013 1 0 ; 0000000000000000003030003030300 0 1 ; 0000000000000000003030230300000 1 0 ; 0000000000000000003030230300303 0 1 ; 0000000000000000003030303030343 0 1 ; 0000000000000000003030303330303 0 1 ; 0000000000000000003030330301303 1 0 ; 0000000000000000003031300000000 0 1 ; 0000000000000000003044030143013 0 1 ; 0000000000000000004000000000000 2 8 ; 0000000000000000004000130300400 0 1 ; 0000000000000000004000230030303 0 1 ; 0000000000000000004000300030003 0 1 ; 0000000000000000004000303030403 0 1 ; 0000000000000000004013030313000 0 1 ; 0000000000000000004013301003030 0 1 ; 0000000000000000004030000000000 0 1 ; 0000000000000000004030111000000 0 1 ; 0000000000000000004040444413030 0 1 ; 0000000000000000004042430303030 0 1 ; 0000000000000000004044300303030 0 1 ; 0000000000000000004113030000030 0 1 ; 0000000000000000004130300000000 0 1 ; 0000000000000000004130303030113 0 1 ; 0000000000000000004134300000000 1 0 ; 0000000000000000004303030300003 0 1 ; 0000000000000000004303030303030 0 1 ; 0000000000000000004400000000000 0 1 ; 0000000000000000004403030301303 0 1 ; 0000000000000000004443040000000 0 1 ; 0000000000000000011301303030301 0 1 ; 0000000000000000012000000000000 0 1 ; 0000000000000000013030230230000 0 1 ; 0000000000000000014130000000000 0 1 ; 0000000000000000020030003010030 0 1 ; 0000000000000000030000000000000 1 4 ; 0000000000000000030014100000000 0 1 ; 0000000000000000030030000000000 0 1 ; 0000000000000000030030303013030 0 1 ; 0000000000000000030030303030313 0 1 ; 0000000000000000030030304033300 1 0 ; 0000000000000000030103030303030 0 1 ; 0000000000000000030200000000000 1 0 ; 0000000000000000030303030000000 0 1 ; 0000000000000000030303030300303 1 0 ; 0000000000000000030303030303000 0 2 ; 0000000000000000030303030303030 2 0 ; 0000000000000000030410303430130 0 1 ; 0000000000000000030430230303000 0 1 ; 0000000000000000040000000000000 3 11 ; 0000000000000000040000003000300 0 1 ; 0000000000000000040000043030000 0 1 ; 0000000000000000040000303000000 0 1 ; 0000000000000000040000303030303 0 1 ; 0000000000000000040004303000000 1 0 ; 0000000000000000040013003030303 0 1 ; 0000000000000000040030230303000 0 1 ; 0000000000000000040030300044130 0 1 ; 0000000000000000040030303030300 0 1 ; 0000000000000000040043030303030 0 1 ; 0000000000000000040130303041301 0 1 ; 0000000000000000040200303030301 0 1 ; 0000000000000000040300000000000 0 3 ; 0000000000000000040303003030303 0 1 ; 0000000000000000040303011303003 0 1 ; 0000000000000000040303030000000 0 1 ; 0000000000000000040400000000000 0 2 ; 0000000000000000040403302000000 0 1 ; 0000000000000000040410000000000 0 1 ; 0000000000000000044000000000000 1 1 ; 0000000000000000044413030303011 0 1 ; 0000000000000000044444040040101 0 1 ; 0000000000000000100213030300000 0 1 ; 0000000000000000101303030303030 0 1 ; 0000000000000000111413030300000 0 1 ; 0000000000000000113030303030343 0 1 ; 0000000000000000130000000400000 0 1 ; 0000000000000000130303030000000 0 1 ; 0000000000000000203000000000000 0 1 ; 0000000000000000230301303030030 0 1 ; 0000000000000000300000000000000 1 3 ; 0000000000000000300034303030130 1 0 ; 0000000000000000300130303030303 0 1 ; 0000000000000000300443030303030 1 0 ; 0000000000000000301303030230343 0 1 ; 0000000000000000303000000000000 1 0 ; 0000000000000000303023000000000 0 1 ; 0000000000000000303030003030430 0 1 ; 0000000000000000303030300000000 0 1 ; 0000000000000000303030301003000 0 1 ; 0000000000000000303030303030000 0 1 ; 0000000000000000400000000000000 10 1 ; 0000000000000000400303030030043 1 0 ; 0000000000000000403000000000000 0 1 ; 0000000000000000404303030303030 0 1 ; 0000000000000000440000000000000 0 1 ; 0000000000000000440303021300000 0 1 ; 0000000000000000440303030303001 0 1 ; 0000000000000000444303000230300 1 0 ; 0000000000000001030000000000000 0 1 ; 0000000000000001130303010030003 0 1 ; 0000000000000001300004304130000 0 1 ; 0000000000000001303030303430130 0 1 ; 0000000000000002000000000000000 0 1 ; 0000000000000002303013030000000 0 1 ; 0000000000000002303013030300000 1 0 ; 0000000000000003000000000000000 1 2 ; 0000000000000003003004111030303 0 1 ; 0000000000000003013030300000000 0 1 ; 0000000000000003013040044444304 0 1 ; 0000000000000003030000000000000 1 1 ; 0000000000000003030030300000000 0 1 ; 0000000000000003030030303030300 0 1 ; 0000000000000003030030430003030 0 1 ; 0000000000000003030300000000000 0 3 ; 0000000000000003030301000000000 0 2 ; 0000000000000003030301300000000 0 1 ; 0000000000000003030301303041300 1 0 ; 0000000000000003030302230300113 0 1 ; 0000000000000003030303000000000 0 2 ; 0000000000000003030303003003033 0 1 ; 0000000000000003030303023030303 0 1 ; 0000000000000003030304303030303 0 1 ; 0000000000000003030320303034303 0 1 ; 0000000000000004000000000000000 7 3 ; 0000000000000004000303030301300 0 1 ; 0000000000000004000403013030040 1 0 ; 0000000000000004003000000000000 0 1 ; 0000000000000004044303030303430 0 1 ; 0000000000000004110013000000000 0 1 ; 0000000000000004303003034303030 0 1 ; 0000000000000004303030301230300 1 0 ; 0000000000000004440413003000000 0 1 ; 0000000000000010300300303030303 0 1 ; 0000000000000013003013130300000 1 0 ; 0000000000000013030000000000000 0 1 ; 0000000000000013030030303030000 0 1 ; 0000000000000013030030303030303 1 0 ; 0000000000000013030303000300300 0 1 ; 0000000000000013030303043030300 0 1 ; 0000000000000013043043003030303 0 1 ; 0000000000000030000000000000000 0 3 ; 0000000000000030013013000000000 0 1 ; 0000000000000030030130303030303 0 1 ; 0000000000000030104303030303030 0 1 ; 0000000000000030130000000000000 0 1 ; 0000000000000030300000000000000 0 1 ; 0000000000000030300303013000000 1 0 ; 0000000000000030301300000303030 0 1 ; 0000000000000030303030000000000 0 1 ; 0000000000000030303030130230130 0 1 ; 0000000000000030303030300000000 1 0 ; 0000000000000030303030301030303 1 0 ; 0000000000000030303030303004000 0 1 ; 0000000000000030303030303030303 0 1 ; 0000000000000030303030330303003 0 1 ; 0000000000000030303040030303000 1 0 ; 0000000000000030303430302203013 0 1 ; 0000000000000030303431330234113 1 0 ; 0000000000000030340000000000000 0 1 ; 0000000000000034303013030303030 0 1 ; 0000000000000040000000000000000 6 5 ; 0000000000000040000000000000040 1 0 ; 0000000000000040000040000000000 0 1 ; 0000000000000040000300030303010 0 1 ; 0000000000000040001000000000000 1 0 ; 0000000000000040001141110440000 1 0 ; 0000000000000040003030303030303 0 1 ; 0000000000000040013030000000000 0 2 ; 0000000000000040113013030130130 0 1 ; 0000000000000040130303030000000 0 1 ; 0000000000000040300000000000000 0 1 ; 0000000000000040301303030303001 0 1 ; 0000000000000040303013030300000 0 1 ; 0000000000000040403013030013030 0 1 ; 0000000000000040430303003030301 0 1 ; 0000000000000041000000000000000 0 1 ; 0000000000000041430303000000040 0 1 ; 0000000000000043000000000000000 0 1 ; 0000000000000043030000000000000 0 1 ; 0000000000000043030303000000000 0 1 ; 0000000000000043030303013011304 0 1 ; 0000000000000044000000000000000 0 1 ; 0000000000000044030303023030303 0 1 ; 0000000000000100000000000000000 1 0 ; 0000000000000103010000000000000 0 1 ; 0000000000000110000000000000000 0 1 ; 0000000000000113000000000000000 0 1 ; 0000000000000133430303003430003 1 0 ; 0000000000000300000000000000000 0 2 ; 0000000000000300002303030003030 0 1 ; 0000000000000300300000000000000 0 1 ; 0000000000000301300300303030303 0 1 ; 0000000000000301303010030303030 0 1 ; 0000000000000302300400000000000 0 1 ; 0000000000000303003000000000000 1 0 ; 0000000000000303010000000000000 0 1 ; 0000000000000303010004000000000 0 1 ; 0000000000000303012030302030300 0 1 ; 0000000000000303013030103030000 0 1 ; 0000000000000303030000000000000 0 1 ; 0000000000000303030001002030003 0 1 ; 0000000000000303030004000000000 0 1 ; 0000000000000303030013030301000 1 0 ; 0000000000000303030300030303030 0 1 ; 0000000000000303030300300000000 0 1 ; 0000000000000303030303003030000 0 1 ; 0000000000000303030303003030303 0 1 ; 0000000000000303030303030303030 0 1 ; 0000000000000303043330330300300 0 1 ; 0000000000000303100000000000000 1 0 ; 0000000000000400000000000000000 2 3 ; 0000000000000400004000000000000 0 2 ; 0000000000000400030303030303030 0 1 ; 0000000000000400303030300010303 0 1 ; 0000000000000400330303030300130 0 1 ; 0000000000000404030030303030000 0 1 ; 0000000000000404303013030303404 0 1 ; 0000000000000413030030100230000 1 0 ; 0000000000000430000000000000000 0 1 ; 0000000000000430300000000000000 0 2 ; 0000000000000430300440000000000 0 1 ; 0000000000000430303013030230043 0 1 ; 0000000000000443000000000000000 0 1 ; 0000000000000444000000000000000 0 1 ; 0000000000000444303030000000000 0 1 ; 0000000000001000000000000000000 0 1 ; 0000000000001004000000000000000 0 1 ; 0000000000001030304134303030030 1 0 ; 0000000000001303000301303003030 0 1 ; 0000000000001400000000000000000 1 0 ; 0000000000001430000000000000000 0 1 ; 0000000000002000000000000000000 0 1 ; 0000000000003000000000000000000 0 4 ; 0000000000003003013430303030303 0 1 ; 0000000000003003023001000000000 0 1 ; 0000000000003003130120000000000 1 0 ; 0000000000003011303030303030301 1 0 ; 0000000000003030000000000000000 0 1 ; 0000000000003030200000000000000 0 1 ; 0000000000003030230030304303030 0 1 ; 0000000000003030300030030301303 0 1 ; 0000000000003030303000000000000 0 2 ; 0000000000003030303030303030303 0 1 ; 0000000000003030303030303030343 0 1 ; 0000000000003030303030340434343 0 1 ; 0000000000003030303043030000000 1 0 ; 0000000000003030303413003030130 0 1 ; 0000000000004000000000000000000 8 6 ; 0000000000004000004000000000000 1 0 ; 0000000000004000030000000000000 0 1 ; 0000000000004000300000000000000 0 2 ; 0000000000004000303030303003030 0 1 ; 0000000000004000404440444444040 0 1 ; 0000000000004004001100130303030 1 0 ; 0000000000004020301400000000000 0 1 ; 0000000000004030030003030430303 0 1 ; 0000000000004030100000000000000 0 1 ; 0000000000004030234303443034303 0 1 ; 0000000000004030300021103030003 0 1 ; 0000000000004030300030030000000 0 1 ; 0000000000004030300430230303000 0 1 ; 0000000000004030301111130301111 0 1 ; 0000000000004030301300000000000 1 0 ; 0000000000004040301000000000000 0 1 ; 0000000000004200000000000000000 0 1 ; 0000000000004303030000000000000 0 1 ; 0000000000004303030303030303033 1 0 ; 0000000000004400300000000000000 0 1 ; 0000000000004411303003034304303 0 1 ; 0000000000010030300000000000000 0 1 ; 0000000000011303030303301303013 0 1 ; 0000000000013030000000000000000 0 1 ; 0000000000013030030000000000000 1 0 ; 0000000000013030130301303000000 1 0 ; 0000000000013030310000000000000 0 1 ; 0000000000020030303013030303030 0 1 ; 0000000000023030300000000000040 0 1 ; 0000000000030000000000000000000 0 5 ; 0000000000030130230000000000000 0 1 ; 0000000000030130303030303030300 0 1 ; 0000000000030301130303030303003 0 1 ; 0000000000030302303044130003003 0 1 ; 0000000000030302303400000000000 0 1 ; 0000000000030303003014304303430 1 0 ; 0000000000030303030000000000000 0 1 ; 0000000000030303030300000000000 0 1 ; 0000000000030303030303030300300 0 1 ; 0000000000030303030343034000000 0 1 ; 0000000000030330300030303030303 0 1 ; 0000000000030430000000000000030 0 1 ; 0000000000034303030300000000000 0 1 ; 0000000000040000000000000000000 2 7 ; 0000000000040001030303030303000 0 1 ; 0000000000040001130011303030440 0 1 ; 0000000000040030300031300000000 0 1 ; 0000000000040113010000000000000 0 1 ; 0000000000040130000000000000000 0 1 ; 0000000000040303000000000000000 0 1 ; 0000000000040303010000000000000 0 1 ; 0000000000040303020030030303030 0 1 ; 0000000000040303030330303032303 0 1 ; 0000000000041230300300000000000 0 1 ; 0000000000041300300030303030130 0 1 ; 0000000000041301030130303030001 0 1 ; 0000000000043000000000000000000 0 3 ; 0000000000043003030303030030000 0 1 ; 0000000000043003430340000000000 1 0 ; 0000000000043030100000000000000 0 1 ; 0000000000043030303411110000000 1 0 ; 0000000000043030304303040303434 0 1 ; 0000000000043030343013030213030 0 1 ; 0000000000044000000000000000000 0 2 ; 0000000000044030203030311343010 0 1 ; 0000000000044130303034303430303 1 0 ; 0000000000044303000000000000000 0 1 ; 0000000000100300000000000000000 0 1 ; 0000000000103003000000000000000 0 1 ; 0000000000103030303013030303043 0 1 ; 0000000000113011303000000000000 1 0 ; 0000000000113013013030303030000 0 1 ; 0000000000113030000000000000000 0 1 ; 0000000000120303030130303030300 0 1 ; 0000000000130000000000000000000 0 1 ; 0000000000130300000000000000000 0 1 ; 0000000000130302003011300000000 0 1 ; 0000000000130303030000000000000 1 1 ; 0000000000202030301300030030000 1 0 ; 0000000000220130303000000000000 0 1 ; 0000000000300030303000000000000 0 1 ; 0000000000301130303030430030130 0 1 ; 0000000000301303030303030430300 0 1 ; 0000000000302304303003030301303 0 1 ; 0000000000303000000000000000000 1 1 ; 0000000000303000030000000000000 1 0 ; 0000000000303003030303043030443 0 1 ; 0000000000303003034303030303030 0 1 ; 0000000000303013030303000000000 0 1 ; 0000000000303030000000000000000 1 0 ; 0000000000303030300000000000000 0 2 ; 0000000000303030301130303000000 0 1 ; 0000000000303030303043000000000 0 1 ; 0000000000311031130000000000000 1 0 ; 0000000000400000000000000000000 2 8 ; 0000000000400003030130303000000 1 0 ; 0000000000400130000000000000000 0 1 ; 0000000000400440000000000000000 0 1 ; 0000000000402303010000000000000 1 0 ; 0000000000403010130130302030303 0 1 ; 0000000000404003013000000300000 1 0 ; 0000000000404043030303003030000 0 1 ; 0000000000404303043000400000000 0 1 ; 0000000000411112143040000000000 0 1 ; 0000000000413030303030303030303 0 1 ; 0000000000420343030111213113441 0 1 ; 0000000000430000000000000000000 0 2 ; 0000000000430030303030003030303 0 1 ; 0000000000430030303034300400000 1 0 ; 0000000000430300000000000000000 0 1 ; 0000000000430303030303030030000 0 1 ; 0000000000440000000444400114141 0 1 ; 0000000000440030300000000000000 0 1 ; 0000000000440300103000000000000 0 1 ; 0000000000440303003030304130300 0 1 ; 0000000001000000000000000000000 1 0 ; 0000000001030303030303430030130 0 1 ; 0000000001303030303010300000000 0 1 ; 0000000001303030303030400300000 1 0 ; 0000000001400000000000000000000 0 1 ; 0000000001400303030303044303430 0 1 ; 0000000002030303033000000000000 0 1 ; 0000000002043000000000000000000 1 0 ; 0000000003000302302030303030302 0 1 ; 0000000003000303000000000000000 1 0 ; 0000000003013030030000000000000 0 1 ; 0000000003030102000000000000000 0 1 ; 0000000003030300000000000000000 0 1 ; 0000000003030303001303030303030 0 1 ; 0000000003030303030000000000000 0 1 ; 0000000003030303030030303030303 0 1 ; 0000000003030303030301303013000 0 1 ; 0000000003030303043030303430303 0 1 ; 0000000003030330030303013030000 0 1 ; 0000000003030330330313230303031 0 1 ; 0000000003401030030442303130000 1 0 ; 0000000003434300000402323040304 1 0 ; 0000000004000000000000000000000 6 1 ; 0000000004000000000300004030000 1 0 ; 0000000004000130000000000000000 0 1 ; 0000000004000302013000000000000 0 1 ; 0000000004000302030013030302130 0 1 ; 0000000004000303030000000000000 0 1 ; 0000000004002030303034303030030 0 1 ; 0000000004003000000000000000000 0 1 ; 0000000004003030000000000000000 0 1 ; 0000000004003030343043400000000 0 1 ; 0000000004010300000000000000000 0 1 ; 0000000004011130000000000000000 0 1 ; 0000000004011300000000000000000 0 1 ; 0000000004013030030303030300000 0 1 ; 0000000004013030303010300303003 0 1 ; 0000000004013030303030303030130 0 1 ; 0000000004030000000000000000000 0 2 ; 0000000004030030303030030000000 0 1 ; 0000000004030300030001303000000 1 0 ; 0000000004030301300000000000000 0 1 ; 0000000004030301303034013034343 0 1 ; 0000000004030302030013230341304 0 1 ; 0000000004030303000000000000000 0 1 ; 0000000004030303011303030303030 0 1 ; 0000000004030303013030300000000 0 1 ; 0000000004030303030030300000000 0 1 ; 0000000004033034303030303030300 0 1 ; 0000000004040000000000000000000 0 1 ; 0000000004040111111300300000000 0 1 ; 0000000004040303000000000000000 1 1 ; 0000000004040441130304430303044 1 0 ; 0000000004041000000000000000000 1 0 ; 0000000004100030303030000000000 0 1 ; 0000000004113030130303033304303 0 1 ; 0000000004130003030303000000000 0 1 ; 0000000004130301303013030300303 0 1 ; 0000000004130303003030303030303 0 1 ; 0000000004301302121011000000000 0 1 ; 0000000004301303013030343030430 0 1 ; 0000000004303030030303030300302 0 1 ; 0000000004303030303000000000000 0 1 ; 0000000004304300303013000000000 0 1 ; 0000000004430300000000000000000 1 0 ; 0000000004434030330000000000000 0 1 ; 0000000010030340134303030230133 0 1 ; 0000000010303003030303133303000 0 1 ; 0000000011302300000000000000000 0 1 ; 0000000011303030000000000000000 0 1 ; 0000000013030303030304303030130 0 1 ; 0000000013030303034303000000000 0 1 ; 0000000013034303030303030303013 0 1 ; 0000000020000000000000000000000 1 0 ; 0000000020303030301300000000000 0 1 ; 0000000023013030301303013030000 0 1 ; 0000000030000303030304000000000 0 1 ; 0000000030003003000042204430303 0 1 ; 0000000030004030213000000000000 0 1 ; 0000000030030000000000000000000 1 0 ; 0000000030030030303030000000000 0 1 ; 0000000030030303010000000000000 0 1 ; 0000000030100303023030303430303 1 0 ; 0000000030103030304303030303030 0 1 ; 0000000030113030303000000000000 0 1 ; 0000000030130300000000000000000 0 1 ; 0000000030300000000000000000000 0 1 ; 0000000030300030303030303030303 0 1 ; 0000000030300300000000000000000 0 1 ; 0000000030302023030000000000000 0 1 ; 0000000030302030130300000000000 1 0 ; 0000000030303000303030000000000 0 1 ; 0000000030303003030303030013000 0 1 ; 0000000030303023031130030301343 0 1 ; 0000000030303030303030303030300 0 1 ; 0000000030303031303003034234301 0 1 ; 0000000030303032303434300030300 1 0 ; 0000000030303033030000000000000 0 1 ; 0000000040000000000000000000000 1 7 ; 0000000040000000000400000000000 0 1 ; 0000000040000030130000000000000 0 1 ; 0000000040001003030300000000000 0 1 ; 0000000040003003030013030304430 0 1 ; 0000000040003003030130103030344 0 1 ; 0000000040003030303030000000000 0 1 ; 0000000040003034130303030000000 0 1 ; 0000000040010113030303000000000 0 1 ; 0000000040030000000000000000000 0 1 ; 0000000040030001300300001000000 0 1 ; 0000000040030130303011000300003 0 1 ; 0000000040030200000000400000000 1 0 ; 0000000040030300103030303030130 0 1 ; 0000000040300000000003000000000 0 1 ; 0000000040303000303000303000000 0 1 ; 0000000040303030130303030230030 0 1 ; 0000000040440000000000000000000 0 1 ; 0000000041000001010440000000000 0 1 ; 0000000041000343000030431303030 0 1 ; 0000000041301303030303030300000 0 1 ; 0000000043000000000000000000000 0 1 ; 0000000043000030303030000000000 0 1 ; 0000000043030320303303413400000 0 1 ; 0000000044000300300030030301301 0 1 ; 0000000044013030300030343430000 0 1 ; 0000000044303030303011303030303 0 1 ; 0000000100130000000000000000000 0 1 ; 0000000103041303003030000030304 0 1 ; 0000000130003030300000000000000 0 1 ; 0000000200004000000000000000000 0 1 ; 0000000300000000000000000000000 0 8 ; 0000000301030103030000000000000 0 1 ; 0000000301202000000000000000000 0 1 ; 0000000302003030000000000000000 0 1 ; 0000000302030000000000000000000 0 1 ; 0000000302030301000000000000000 1 0 ; 0000000302040303000400000000000 0 1 ; 0000000302130313000000000000000 1 0 ; 0000000303030300304303030303430 0 1 ; 0000000303030303030303034303443 0 1 ; 0000000303431330303030330301111 0 1 ; 0000000400000000000000000000000 2 1 ; 0000000400000000000300003030000 0 1 ; 0000000400001300000000000000000 0 1 ; 0000000400003030303030130303030 0 1 ; 0000000400013030130113030030304 0 1 ; 0000000400030100000000000000000 0 1 ; 0000000400030130303030310011000 0 1 ; 0000000400030300230300000000000 0 1 ; 0000000400030300303000000000000 0 1 ; 0000000400100313003031300000000 0 1 ; 0000000400300002303030303003003 0 1 ; 0000000400303000000000000000000 0 1 ; 0000000400303013003000400000000 0 1 ; 0000000401303430030303430303030 0 1 ; 0000000403000000000000000000000 0 1 ; 0000000403030100000400000000000 0 1 ; 0000000403030303030000000000000 1 0 ; 0000000404000030303030303011300 0 1 ; 0000000420304113001300000000000 0 1 ; 0000000430003030303042030230000 0 1 ; 0000000430303003003000000000000 0 1 ; 0000000430304303000000000000000 0 1 ; 0000000440000000000000003000000 0 1 ; 0000000440100000000000000000000 0 1 ; 0000000443000000000000000000000 0 1 ; 0000000443030000000000000000000 0 1 ; 0000001000000000000000000000000 1 1 ; 0000001001301100000000000000000 0 1 ; 0000001301003000000000000000000 0 1 ; 0000001303031303004134343413030 0 1 ; 0000003000000000000000000000000 1 4 ; 0000003011100000000000000000000 0 1 ; 0000003012000000000000000000000 0 1 ; 0000003013003033130000000000040 0 1 ; 0000003020301013030303430100000 1 0 ; 0000003020330110000000000000000 0 1 ; 0000003030000000000000000000000 0 2 ; 0000003030034313030113030303420 0 1 ; 0000003030300004000000000000000 0 1 ; 0000003030300033000000000000000 0 1 ; 0000003030303030100000000000000 0 1 ; 0000003030303030303030300000000 0 1 ; 0000003030303030303031313031414 0 1 ; 0000003030303033030303313043031 0 1 ; 0000004000030113030003000000000 0 1 ; 0000004000301300303030300000000 0 1 ; 0000004001303030301303413000000 0 1 ; 0000004040011303043430301210030 0 1 ; 0000004043030300300301303030110 0 1 ; 0000004303000000000000000000000 0 1 ; 0000004430400000000000000000000 0 1 ; 0000010000000000000000000000000 0 1 ; 0000010030000000000000000000000 0 1 ; 0000010303013000000000000000000 0 1 ; 0000011030303030303030013030303 1 0 ; 0000011301000000000000000000000 0 1 ; 0000011303100000000000000000000 0 1 ; 0000013013034303000000000000000 0 1 ; 0000030000000000000000000000000 0 1 ; 0000030000230303134100000000000 1 0 ; 0000030020303030303000000000000 1 0 ; 0000030030000000000000000000000 0 1 ; 0000030100003030300000000000000 1 0 ; 0000030130300000000000000000000 0 1 ; 0000030300000000000000000000000 0 2 ; 0000030300303030000000000000000 1 0 ; 0000030303000000000000000000000 0 2 ; 0000030303010000000000000000000 1 0 ; 0000040000040003030304444443004 0 1 ; 0000043030130303030303000000000 0 1 ; 0000043030303030013443030000000 0 1 ; 0000100000000000000000000000000 0 1 ; 0000100130014303030130302220301 0 1 ; 0000110300030000000000000000000 1 0 ; 0000130030030300303030000000000 1 0 ; 0000130130000000000000000000000 0 1 ; 0000300000000000000000000000000 0 2 ; 0000300030003030000303030030303 0 1 ; 0000300030003030130300000000000 0 1 ; 0000300303030302010000000000000 1 0 ; 0000301030303030000000000000000 1 0 ; 0000301303030000000000000000000 1 0 ; 0000302313030303030303030230030 0 1 ; 0000303003030301114303030300000 0 1 ; 0000303030343030000000000000000 0 1 ; 0000303031303000000000000000000 0 1 ; 0000400000000000000000000000000 4 2 ; 0000400000303030030301030303030 0 1 ; 0000400030100300303430303000000 1 0 ; 0000400041303030311302003000000 0 1 ; 0000400130300000000000000000000 0 1 ; 0000400303030303120000000000000 0 1 ; 0000400400000000000000000000000 0 1 ; 0000400430130130343030303013030 0 1 ; 0000401020100303030300000000000 0 1 ; 0000403030000303030130300000000 0 1 ; 0000403030303000000000000000000 0 1 ; 0000403030303030303000000000000 0 1 ; 0000404000000000000000000000000 0 1 ; 0000413030003043030303034303030 0 1 ; 0000430301003000000000000000000 0 1 ; 0000430302034303011303034300000 0 1 ; 0000430303000000000000000000000 1 0 ; 0000434303030303000000000000000 1 0 ; 0001000000000000000000000000000 0 2 ; 0001030301013030303014030303000 1 0 ; 0001103030300303010000000000000 1 0 ; 0001130300301113000000000000000 0 1 ; 0001130303024303030303030303030 0 1 ; 0001303000303023030301303400000 0 1 ; 0002000000000000000000000000000 0 1 ; 0003000302030003030303030003001 1 0 ; 0003000303023030300000000000000 0 1 ; 0003003113011303010000000000000 1 0 ; 0003010000000000000000000000000 1 1 ; 0003030003000003013030342030303 0 1 ; 0003030300000000000000000000000 1 1 ; 0003030303030130330303333000000 0 1 ; 0003030303030301300000000000000 0 1 ; 0003030303030303010000000000000 0 1 ; 0003031300200000000000000000000 1 0 ; 0004000000000000000000000000000 13 7 ; 0004000000011302130303011000000 0 1 ; 0004000003030303030030003034040 0 1 ; 0004000011113030000000000000000 0 1 ; 0004000030300303003030303003000 0 1 ; 0004000030303043030303130303043 0 1 ; 0004000043030300000000000000000 0 1 ; 0004000104303434303030300030343 0 1 ; 0004000130003030300000000000000 1 0 ; 0004000130030130301304303000000 0 1 ; 0004000300301000000000000000000 0 1 ; 0004000301200313130003030300301 1 0 ; 0004000303013030301303430303030 0 1 ; 0004000303023030301000000000000 0 1 ; 0004000303030300303030303003030 0 1 ; 0004000303420303023030300000000 0 1 ; 0004000404140410030443414241030 0 1 ; 0004000430030301303030103040000 0 1 ; 0004001203030030110000000000000 0 1 ; 0004002013013030304303434341303 1 0 ; 0004002130300030303030340030030 1 0 ; 0004003023030130303430240303003 1 0 ; 0004003030301000000000000000000 0 1 ; 0004003030303030404303013013030 1 0 ; 0004003030303031000000000000000 0 1 ; 0004004301303030303030430303413 0 1 ; 0004013030340130213031330330300 0 1 ; 0004030030030030303034303030000 1 0 ; 0004030303030300303000000000000 0 1 ; 0004030303030303000000044000000 0 1 ; 0004030303030343000000000000000 0 1 ; 0004100000000000000000000000000 0 1 ; 0004130300000000000000000000000 0 1 ; 0004130303030303303303010033030 0 1 ; 0004130303131000000000000000000 0 1 ; 0004203000000000000000000000000 0 1 ; 0004210030140001030303012434130 0 1 ; 0004301030003034301113430000000 0 1 ; 0004303030313121301343030300000 1 0 ; 0004400000303030344303030300000 0 1 ; 0004400013030303034303030000000 0 1 ; 0004400303030303030344030230313 0 1 ; 0004401000301000000000000000000 0 1 ; 0004403030030303030343000404040 0 1 ; 0004420200000000000000000000000 0 1 ; 0004420430301303034343430302000 0 1 ; 0004430130303030303030303043030 1 0 ; 0010000023000000000000000000000 1 0 ; 0013030300003010030403303030000 0 1 ; 0020300303000000000000000000000 0 1 ; 0023030130020334334303030300000 0 1 ; 0030000001303000000000000000000 1 0 ; 0030020302000000000000000000000 0 1 ; 0030300000000000000000000000000 1 1 ; 0030303030100303030303000000000 0 1 ; 0040000000000000000000000000000 1 2 ; 0040000000003000000000000000000 0 1 ; 0040000110030000000000000000000 0 1 ; 0040000301300000000000000000000 0 1 ; 0040000331301303030100000000000 0 1 ; 0040003000300000040000000000000 0 1 ; 0040003030303011031300000000000 0 1 ; 0040003030303030340000000000000 0 1 ; 0040003032030134130000000000000 0 1 ; 0040010031303030303030303030301 0 1 ; 0040013020400030303030000013000 0 1 ; 0040030303013041430211243420000 0 1 ; 0040300010130000000000000000000 1 0 ; 0040300302003030303003030303030 0 1 ; 0040400303000300303030000030130 0 1 ; 0040400303030111301303030130000 0 1 ; 0040411300000000000000000000000 0 1 ; 0041130130030000000000000000000 0 1 ; 0041301030130030300000000000000 0 1 ; 0043010000000000000000000000000 0 1 ; 0043030100000000000000000000000 0 1 ; 0043030303000303010000000000000 0 1 ; 0044000000000400000000000000000 0 1 ; 0044000303030030300000000000000 0 1 ; 0044000303030343013013434301130 0 1 ; 0044001302031303013013430301303 0 1 ; 0044003010000000000000000000000 0 2 ; 0044003033000303000000000000000 0 1 ; 0044013030300303023021023030300 0 1 ; 0044030000000000000000000000000 0 1 ; 0044030130303034130303003400000 0 1 ; 0044030301303030303030303030000 0 1 ; 0044033030000000000000000000000 0 1 ; 0044043003030303002030023000000 0 1 ; 0044100000000000000000000000000 0 1 ; 0044123013023030303013030301303 0 1 ; 0044303030000303000000000000000 0 1 ; 0044303040000000000000000000000 0 1 ; 0044303303004343034303003033430 0 1 ; 0044304303030303003013034000000 0 1 ; 0044400000000000000000000000000 0 1 ; 0044400300000000000000000000000 0 1 ; 0044401343003003430101303030303 0 1 ; 0044403010343000000000000000000 0 1 ; 0044430303030303130313030430130 0 1 ; 0044434000000000000000000000000 0 1 ; 0301100000000000000000000000000 0 1 ; 0301303030303030303003030303030 1 0 ; 0303000000000000000000000000000 0 1 ; 0303030010030130010030303030003 0 1 ; 0303030303101330300000000000000 0 1 ; 0304000030303032303030303030300 0 1 ; 0320303000000313031300301031303 0 1 ; 0400000000000000000000000000000 3 6 ; 0400000013030303030304303030400 0 1 ; 0400010000000000000000000000000 0 1 ; 0400013040030030301130000000000 0 1 ; 0400400130301241300303021000000 0 1 ; 0401000000003000000000000000000 1 0 ; 0403003040030000000000000000000 1 0 ; 0403030000000000000000000000000 0 1 ; 0404000000000000000000000000000 0 1 ; 0404030303023030303000000000000 1 0 ; 0404303030300000000004000000000 0 1 ; 0404413030003033033313311211343 0 1 ; 0404413030130303430303030303030 0 1 ; 0410303030430301113031110111301 1 0 ; 0413030303030000000000000000000 0 1 ; 0430003013030030313430000000000 0 1 ; 0430303013030303030000000000000 0 1 ; 0440000000000000000000443030302 0 1 ; 0440000030301030300000020000000 0 1 ; 0440013003030000000000000000000 1 0 ; 0440330031303030303030302000000 0 1 ; 0444400000000000000000000000000 0 1 ; 1300000000000000000000000000000 1 0 ; 2030000000000000000000000000000 1 0 ; 3003030111303013030130003100000 1 0 ; 3013000303003030000000000000000 1 0 ; 3030130303030030314303400230000 1 0 ; 4000000000000000000000000000000 3 1 ; 4000000303030300000000000000000 1 0 ; 4000000400000000000000000000000 0 1 ; 4000001030302313013030303020303 0 1 ; 4000003030303030300000000000000 0 1 ; 4000030130303031130430303130003 0 1 ; 4000300300000000000000000000000 0 1 ; 4004100400003030303043030303030 0 1 ; 4004303030000000000000000000000 1 0 ; 4030130230130030303013434000000 0 1 ; 4034300203010313130313040000000 0 1 ; 4040000000000000000000000000000 1 0 ; 4040030300031301303030403043030 0 1 ; 4040130000000000000000000000000 0 1 ; 4041003003030000000000000000000 0 1 ; 4043000200000000000000000000000 0 1 ; 4044030130303030303430303443043 0 1 ; 4044100000000000000000000000000 0 1 ; 4100000000000000000000000000000 1 0 ; 4101303030301303123000000000000 0 1 ; 4303013000000000000000000000000 0 1 ; 4400003030000000000000000000000 0 1 ; 4440000000000000000000000000000 0 1 ; 4444440303030303000030303003430 0 1 ;