Attacks toward Wireless Network-on-Chip and Countermeasures - Archive ouverte HAL Accéder directement au contenu
Article Dans Une Revue IEEE Transactions on Emerging Topics in Computing Année : 2021

Attacks toward Wireless Network-on-Chip and Countermeasures

Résumé

Introduction A Wireless Network-on-Chip (WiNoC) offers a promising solution to reduce broadcast and long distance communication bottlenecks of conventional architectures by augmenting them with single hop wireless links. In this paper, we discuss new security vulnerabilities and countermeasures to protect against them in a WiNoC based system. In particular , we describe Malicious Threshold Configuration (MTC) Attack, Disruptive Token Passing (DTP) Attack, Data Stealing by Broadcast (DSB) Attack and Hybrid Attack against the WiNoC. Our proposed countermeasure against MTC-OU (over-utilization) attack i.e., Source Destination checking mechanism decreases wireless hub utilization by 49% and network latency by many orders of magnitude compared to without countermeasure, causing system performance improvement. Another proposed countermeasure against DTP attacks i.e., detour mechanism improves the network throughout by 1.21x and 23x under DTP-AHT and DTP-DOS attacks respectively .
Fichier principal
Vignette du fichier
Final_Submitted_Version_TETC20.pdf (6.83 Mo) Télécharger le fichier
Origine : Fichiers produits par l'(les) auteur(s)
Loading...

Dates et versions

hal-02484906 , version 1 (19-02-2020)
hal-02484906 , version 2 (25-02-2020)

Identifiants

Citer

Arnab Kumar Biswas, Navonil Chatterjee, Hemanta Kumar Mondal, Guy Gogniat, Jean-Philippe Diguet. Attacks toward Wireless Network-on-Chip and Countermeasures. IEEE Transactions on Emerging Topics in Computing, 2021, 9 (2), ⟨10.1109/TETC.2020.2973427⟩. ⟨hal-02484906v2⟩
79 Consultations
147 Téléchargements

Altmetric

Partager

Gmail Facebook X LinkedIn More