Caractérisation d'une IP VHDL de réseau sur puce en SystemC - Archive ouverte HAL
Article Dans Une Revue Journal sur l'enseignement des sciences et technologies de l'information et des systèmes Année : 2017

Caractérisation d'une IP VHDL de réseau sur puce en SystemC

S Jovanovic
Y. Berviller
Serge Weber

Résumé

Nous présentons un ensemble de travaux pratiques qui sont dispensés au sein du Master Ingénierie Électrique, Électronique et Informatique Industrielle (I2E2I) spécialité Électronique Embarquée et Microsystèmes (EEM) à l'université de Lorraine dans le cadre du module « Modélisation SystemC ». Ces TP sont destinés à initier les étudiants à la modélisation de systèmes et circuits numériques en SystemC et sont organisés autour de la suite logicielle Modelsim de MentorGraphics pour la simulation, le test et la vérification.
Fichier principal
Vignette du fichier
Caracterisation_dune_IP_VHDL_de_reseau_sur_puce_e.pdf (863.65 Ko) Télécharger le fichier
Origine Fichiers éditeurs autorisés sur une archive ouverte
Loading...

Dates et versions

hal-02337863 , version 1 (29-10-2019)

Identifiants

Citer

S Jovanovic, Y. Berviller, Serge Weber. Caractérisation d'une IP VHDL de réseau sur puce en SystemC. Journal sur l'enseignement des sciences et technologies de l'information et des systèmes, 2017, JPCNFM 2016 – 14e journées pédagogiques du CNFM (Coordination nationale pour la formation en micro-électronique et en nanotechnologies), 16, pp.1019. ⟨10.1051/j3ea/20171019⟩. ⟨hal-02337863⟩
116 Consultations
310 Téléchargements

Altmetric

Partager

More