Impact of 3D IC on NoC Topologies: A Wire Delay Consideration - Archive ouverte HAL Accéder directement au contenu
Communication Dans Un Congrès Année : 2013

Impact of 3D IC on NoC Topologies: A Wire Delay Consideration

Résumé

In this paper, we perform an exploration of 3D NoC architectures through physical design implementation based on two tiers Tezzaron 3D technology. The 3D NoC partitioning is done by dividing the NoC's datapath component into two blocks placed in the two tiers. Two Stacked NoC architectures namely Stacked 3D-Mesh NoC and Stacked 2D-Hexagonal NoC developed based on this partitioning strategy are analyzed by comparing their performances with Stacked 2D-Mesh NoC and classical 2D- Mesh and 3D-Mesh NoC. In order to measure the impact of wire delay on performance, two technology libraries (130 nm and 45 nm) representing old and advanced technologies have been used for the performance analysis. Results from physical implementations show that in advanced technologies such as 45 nm and below, the performance of Stacked 2D NoC topologies with datapath partitioning method have better performances compared with traditional 2D/3D Mesh topologies and Stacked 3D Mesh topology. We advocate here that with stacking there is no need for 3D NoC topologies for advanced 2-tier 3D IC and this is also confirmed for multistage networks like butterfly.
Fichier principal
Vignette du fichier
dsd-2013-v6-121-06-2013.pdf (691.02 Ko) Télécharger le fichier
Origine : Fichiers produits par l'(les) auteur(s)
Loading...

Dates et versions

hal-00938984 , version 1 (29-01-2014)

Identifiants

  • HAL Id : hal-00938984 , version 1

Citer

Mohamad Jabbar, Dominique Houzet, Omar Hammami. Impact of 3D IC on NoC Topologies: A Wire Delay Consideration. DSD 2013 - Digital System Design: Architectures, Methods and Tools, Sep 2013, Santander, Spain. pp.68-72. ⟨hal-00938984⟩
195 Consultations
474 Téléchargements

Partager

Gmail Facebook X LinkedIn More